From a9f8777e0fbb1b4ff5d8f6f7219a07782d755a4a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 14 Mar 2017 06:50:00 +0100 Subject: Add testcase for #316 --- testsuite/gna/issue316/gen_pkg.vhdl | 13 +++++++++++++ testsuite/gna/issue316/testsuite.sh | 10 ++++++++++ 2 files changed, 23 insertions(+) create mode 100644 testsuite/gna/issue316/gen_pkg.vhdl create mode 100755 testsuite/gna/issue316/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue316/gen_pkg.vhdl b/testsuite/gna/issue316/gen_pkg.vhdl new file mode 100644 index 000000000..5a730df51 --- /dev/null +++ b/testsuite/gna/issue316/gen_pkg.vhdl @@ -0,0 +1,13 @@ +package gen_pkg is + generic ( + type T; + function "-"(a : T) return T-- is <>; + ); +end package; + +use work.gen_pkg; + +package p is new gen_pkg + generic map ( + T => T_1000 + ); diff --git a/testsuite/gna/issue316/testsuite.sh b/testsuite/gna/issue316/testsuite.sh new file mode 100755 index 000000000..8175b86d2 --- /dev/null +++ b/testsuite/gna/issue316/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure gen_pkg.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3