aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-12-13 19:04:50 +0100
committerTristan Gingold <tgingold@free.fr>2021-12-13 19:05:17 +0100
commit6bcba53d0fc8d4e6e168470ecb8216bbb87534ca (patch)
tree9e94d77b27ee11affe8ba792c623da525d975c4f /testsuite
parent98358ffe7135e62a38168234619347d4aa159cd8 (diff)
downloadghdl-6bcba53d0fc8d4e6e168470ecb8216bbb87534ca.tar.gz
ghdl-6bcba53d0fc8d4e6e168470ecb8216bbb87534ca.tar.bz2
ghdl-6bcba53d0fc8d4e6e168470ecb8216bbb87534ca.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/name4.vhdl14
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/name4.vhdl b/testsuite/gna/bug0100/name4.vhdl
new file mode 100644
index 000000000..5c88203ad
--- /dev/null
+++ b/testsuite/gna/bug0100/name4.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity name4 is
+ port (leds_o : std_logic_vector(3 downto 0);
+ leds_init : std_logic_vector(3 downto 0);
+ led_init_en : std_logic);
+end;
+
+architecture behav of name4 is
+begin
+ leds_o <= (led_init());
+end behav;
+
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 2e9d2203e..3733548f3 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -29,6 +29,7 @@ analyze_failure compon.vhdl
analyze_failure --force-analysis varcomp.vhdl
#analyze_failure --force-analysis name1.vhdl
#analyze_failure --force-analysis name2.vhdl
+analyze_failure --force-analysis name4.vhdl
analyze_failure --force-analysis inst2.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then