aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/name4.vhdl
blob: 5c88203ad906471d7b626e4ac63b9e60147f45d8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity name4 is
  port (leds_o : std_logic_vector(3 downto 0);
        leds_init : std_logic_vector(3 downto 0);
        led_init_en : std_logic);
end;

architecture behav of name4 is
begin
  leds_o <= (led_init());
end behav;