aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/var01/tb_var05.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-17 02:18:41 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-17 02:18:41 +0200
commitde899bb8cb6e2f43a3e80a6a273d6a459b08e401 (patch)
treee44c55c459c9a1fe5814103927d7ac8be4f8a40e /testsuite/synth/var01/tb_var05.vhdl
parentb7a36d7d7838d05b449aa7e23935cd0e3e4213d4 (diff)
downloadghdl-de899bb8cb6e2f43a3e80a6a273d6a459b08e401.tar.gz
ghdl-de899bb8cb6e2f43a3e80a6a273d6a459b08e401.tar.bz2
ghdl-de899bb8cb6e2f43a3e80a6a273d6a459b08e401.zip
testsuite/synth: add var01
Diffstat (limited to 'testsuite/synth/var01/tb_var05.vhdl')
-rw-r--r--testsuite/synth/var01/tb_var05.vhdl48
1 files changed, 48 insertions, 0 deletions
diff --git a/testsuite/synth/var01/tb_var05.vhdl b/testsuite/synth/var01/tb_var05.vhdl
new file mode 100644
index 000000000..63d42bc12
--- /dev/null
+++ b/testsuite/synth/var01/tb_var05.vhdl
@@ -0,0 +1,48 @@
+entity tb_var05 is
+end tb_var05;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_var05 is
+ signal clk : std_logic;
+ signal sel : std_logic;
+ signal a, b : std_logic_vector (1 downto 0);
+ signal res : std_logic_vector (1 downto 0);
+begin
+ dut: entity work.var05
+ port map (
+ sel => sel,
+ a => a,
+ b => b,
+ res => res);
+
+ process
+ begin
+ sel <= '1';
+ a <= "00";
+ b <= "11";
+ wait for 1 ns;
+ assert res = "11" severity failure;
+
+ sel <= '0';
+ a <= "00";
+ b <= "11";
+ wait for 1 ns;
+ assert res = "00" severity failure;
+
+ sel <= '0';
+ a <= "10";
+ b <= "01";
+ wait for 1 ns;
+ assert res = "10" severity failure;
+
+ sel <= '1';
+ a <= "10";
+ b <= "01";
+ wait for 1 ns;
+ assert res = "01" severity failure;
+
+ wait;
+ end process;
+end behav;