aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth56/test2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-03 07:42:21 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-03 21:15:08 +0100
commit2170c6f1592156b51254f30e2c4d0019fc91855b (patch)
treecc51e2435b637b37e100959efdf9bf59b6480757 /testsuite/synth/synth56/test2.vhdl
parent7c81c5a02e0d2eabab6aa90405dd947302e68674 (diff)
downloadghdl-2170c6f1592156b51254f30e2c4d0019fc91855b.tar.gz
ghdl-2170c6f1592156b51254f30e2c4d0019fc91855b.tar.bz2
ghdl-2170c6f1592156b51254f30e2c4d0019fc91855b.zip
testsuite/synth: add test for tgingold/ghdlsynth-beta#56
Diffstat (limited to 'testsuite/synth/synth56/test2.vhdl')
-rw-r--r--testsuite/synth/synth56/test2.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/synth56/test2.vhdl b/testsuite/synth/synth56/test2.vhdl
new file mode 100644
index 000000000..09c82d189
--- /dev/null
+++ b/testsuite/synth/synth56/test2.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test2 is
+ port (
+ d_in: in std_logic_vector(1 downto 0);
+ d_out: out std_logic_vector(1 downto 0)
+ );
+end entity test2;
+
+architecture rtl of test2 is
+ constant c : std_logic_vector (7 downto 0) := "10010000";
+begin
+ d_out <= c(to_integer(unsigned(d_in))+1 downto to_integer(unsigned(d_in)));
+end rtl;