aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/scmpeq.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-07 21:54:09 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-07 21:55:53 +0200
commita18e1503a9896152268705aab21a6ee491756ff7 (patch)
tree7fd8a8fe8ca3cfca8687c6c2fe26e2224c712cf1 /testsuite/synth/snsuns01/scmpeq.vhdl
parentb46d4db8b112d40b056c102d65a64d08a00f4668 (diff)
downloadghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.gz
ghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.bz2
ghdl-a18e1503a9896152268705aab21a6ee491756ff7.zip
testsuite/synth: add tests for std_logic_unsigned and std_logic_signed.
Diffstat (limited to 'testsuite/synth/snsuns01/scmpeq.vhdl')
-rw-r--r--testsuite/synth/snsuns01/scmpeq.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/snsuns01/scmpeq.vhdl b/testsuite/synth/snsuns01/scmpeq.vhdl
new file mode 100644
index 000000000..d0ef55339
--- /dev/null
+++ b/testsuite/synth/snsuns01/scmpeq.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity cmpeq is
+ port (
+ li : integer;
+ ri : integer;
+ l4 : std_logic_vector (3 downto 0);
+ r3 : std_logic_vector (2 downto 0);
+
+ eq_v4v3 : out boolean;
+ eq_v4i : out boolean;
+ eq_iv3 : out boolean);
+end cmpeq;
+
+library ieee;
+use ieee.std_logic_signed.all;
+
+architecture behav of cmpeq is
+begin
+ eq_v4v3 <= l4 = r3;
+ eq_v4i <= l4 = ri;
+ eq_iv3 <= li = r3;
+end behav;