aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/output01/output06.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-08-01 05:10:56 +0200
committerTristan Gingold <tgingold@free.fr>2019-08-01 05:13:04 +0200
commitae6d2b79e508684f189b6d8cc093dbb6f586f767 (patch)
tree1dd54ddd20d97166fe3a7660bd6d28100a428067 /testsuite/synth/output01/output06.vhdl
parent90f866c08f5f377779651490331122a87686837f (diff)
downloadghdl-ae6d2b79e508684f189b6d8cc093dbb6f586f767.tar.gz
ghdl-ae6d2b79e508684f189b6d8cc093dbb6f586f767.tar.bz2
ghdl-ae6d2b79e508684f189b6d8cc093dbb6f586f767.zip
synth: add tests for partial assignment.
Diffstat (limited to 'testsuite/synth/output01/output06.vhdl')
-rw-r--r--testsuite/synth/output01/output06.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/output01/output06.vhdl b/testsuite/synth/output01/output06.vhdl
new file mode 100644
index 000000000..0ececa86f
--- /dev/null
+++ b/testsuite/synth/output01/output06.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity output06 is
+ port (i : std_logic;
+ o : out std_logic_vector (3 downto 0));
+end output06;
+
+architecture behav of output06 is
+ signal s : std_logic_vector(3 downto 0);
+begin
+ process (i)
+ begin
+ s(0) <= i;
+ s (1) <= not i;
+ s (3) <= i;
+ end process;
+
+ s (2) <= '0';
+
+ o <= s;
+end behav;
+