aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue947/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-30 07:37:54 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-30 07:37:54 +0200
commitaefa14ad88fe7ea4621c6b3a3468432ae76b48cb (patch)
treec69c825871530dff6606b9b0c2f74dea96ed3d21 /testsuite/synth/issue947/testsuite.sh
parentbf30078cae1fd5631c3ab8a19dfa6693aeb2c94b (diff)
downloadghdl-aefa14ad88fe7ea4621c6b3a3468432ae76b48cb.tar.gz
ghdl-aefa14ad88fe7ea4621c6b3a3468432ae76b48cb.tar.bz2
ghdl-aefa14ad88fe7ea4621c6b3a3468432ae76b48cb.zip
testsuite/synth: add testcase for #947
Diffstat (limited to 'testsuite/synth/issue947/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue947/testsuite.sh11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue947/testsuite.sh b/testsuite/synth/issue947/testsuite.sh
new file mode 100755
index 000000000..54e687d28
--- /dev/null
+++ b/testsuite/synth/issue947/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+# analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"