From aefa14ad88fe7ea4621c6b3a3468432ae76b48cb Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 30 Sep 2019 07:37:54 +0200 Subject: testsuite/synth: add testcase for #947 --- testsuite/synth/issue947/testsuite.sh | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100755 testsuite/synth/issue947/testsuite.sh (limited to 'testsuite/synth/issue947/testsuite.sh') diff --git a/testsuite/synth/issue947/testsuite.sh b/testsuite/synth/issue947/testsuite.sh new file mode 100755 index 000000000..54e687d28 --- /dev/null +++ b/testsuite/synth/issue947/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +for f in ent; do + synth $f.vhdl -e $f > syn_$f.vhdl +# analyze syn_$f.vhdl +done +clean + +echo "Test successful" -- cgit v1.2.3