aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1273/tb_assert4.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-06 08:22:10 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-06 18:38:26 +0200
commitfa6147b879add9ad4db0f2bc8d4c47f7fd92f41c (patch)
treea9ca346ab1951833df6e8e09615e54346f0305f2 /testsuite/synth/issue1273/tb_assert4.vhdl
parent3b70e630543da3d42fc0cda37389312d8910e0ab (diff)
downloadghdl-fa6147b879add9ad4db0f2bc8d4c47f7fd92f41c.tar.gz
ghdl-fa6147b879add9ad4db0f2bc8d4c47f7fd92f41c.tar.bz2
ghdl-fa6147b879add9ad4db0f2bc8d4c47f7fd92f41c.zip
testsuite/synth: add more tests for #1273
Diffstat (limited to 'testsuite/synth/issue1273/tb_assert4.vhdl')
-rw-r--r--testsuite/synth/issue1273/tb_assert4.vhdl56
1 files changed, 56 insertions, 0 deletions
diff --git a/testsuite/synth/issue1273/tb_assert4.vhdl b/testsuite/synth/issue1273/tb_assert4.vhdl
new file mode 100644
index 000000000..1322af021
--- /dev/null
+++ b/testsuite/synth/issue1273/tb_assert4.vhdl
@@ -0,0 +1,56 @@
+entity tb_assert4 is
+ generic (with_err : boolean := False);
+end tb_assert4;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_assert4 is
+ signal v : std_logic_Vector (7 downto 0);
+ signal en : std_logic := '0';
+ signal clk : std_logic;
+ signal res : std_logic;
+begin
+ dut: entity work.assert4
+ port map (v, en, clk, res);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ en <= '1';
+ v <= b"0010_0000";
+ pulse;
+ assert res = '0' severity failure;
+
+ v <= b"0010_0001";
+ pulse;
+ assert res = '1' severity failure;
+
+ v <= b"0010_0011";
+ pulse;
+ assert res = '0' severity failure;
+
+ v <= b"0010_0010";
+ pulse;
+ assert res = '1' severity failure;
+
+ en <= '0';
+ v <= x"00";
+ pulse;
+ assert res = '1' severity failure;
+
+ -- Trigger an error.
+ if with_err then
+ en <= '1';
+ pulse;
+ end if;
+
+ wait;
+ end process;
+end behav;