aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1107
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-11-28 18:15:29 +0100
committerTristan Gingold <tgingold@free.fr>2021-11-28 18:15:29 +0100
commit0416c788cd9aecd1a2bc8e7a517606d181d99921 (patch)
tree4c3a8886cca8fb996f42118696e9369656018084 /testsuite/synth/issue1107
parentc7d32abe6f8108c0e7af6eea5d546be2bd83b704 (diff)
downloadghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.tar.gz
ghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.tar.bz2
ghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.zip
testsuite/synth: avoid use of verilog identifiers
Diffstat (limited to 'testsuite/synth/issue1107')
-rw-r--r--testsuite/synth/issue1107/unconnected.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/synth/issue1107/unconnected.vhdl b/testsuite/synth/issue1107/unconnected.vhdl
index 0c7886a24..d8dcd2a10 100644
--- a/testsuite/synth/issue1107/unconnected.vhdl
+++ b/testsuite/synth/issue1107/unconnected.vhdl
@@ -4,12 +4,12 @@ use ieee.std_logic_1164.all;
entity unconnected is
port (
- output: out std_logic
+ outp: out std_logic
);
end entity;
architecture arch of unconnected is
signal no_value: std_logic;
begin
- output <= no_value;
+ outp <= no_value;
end;