aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1032
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-30 13:25:32 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-30 13:25:32 +0100
commit093efdbed5f23119eef834e8a6e65bf2aeddfc72 (patch)
tree0b551fde9e03d57e2242904dfd3ff382ae816835 /testsuite/synth/issue1032
parent6be88e751d21f5009735473ef1501b5337212d09 (diff)
downloadghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.tar.gz
ghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.tar.bz2
ghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.zip
testsuite: remove artifact.
Diffstat (limited to 'testsuite/synth/issue1032')
-rw-r--r--testsuite/synth/issue1032/syn_ent.vhdl10
1 files changed, 0 insertions, 10 deletions
diff --git a/testsuite/synth/issue1032/syn_ent.vhdl b/testsuite/synth/issue1032/syn_ent.vhdl
deleted file mode 100644
index 3cec16531..000000000
--- a/testsuite/synth/issue1032/syn_ent.vhdl
+++ /dev/null
@@ -1,10 +0,0 @@
-entity ent is
-end ent;
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-architecture rtl of ent is
-begin
-end rtl;