From 093efdbed5f23119eef834e8a6e65bf2aeddfc72 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 30 Nov 2019 13:25:32 +0100 Subject: testsuite: remove artifact. --- testsuite/synth/issue1032/syn_ent.vhdl | 10 ---------- 1 file changed, 10 deletions(-) delete mode 100644 testsuite/synth/issue1032/syn_ent.vhdl (limited to 'testsuite/synth/issue1032') diff --git a/testsuite/synth/issue1032/syn_ent.vhdl b/testsuite/synth/issue1032/syn_ent.vhdl deleted file mode 100644 index 3cec16531..000000000 --- a/testsuite/synth/issue1032/syn_ent.vhdl +++ /dev/null @@ -1,10 +0,0 @@ -entity ent is -end ent; - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -architecture rtl of ent is -begin -end rtl; -- cgit v1.2.3