aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec05.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-04 06:52:02 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-04 06:52:02 +0200
commit95433bc986b16badf5a48ab00df861d0424ca983 (patch)
treed63a2bb74ee44cbefc814ddae9276f06f464dac8 /testsuite/synth/dispout01/pkg_rec05.vhdl
parentfcaac98cefe3917610b88de7cc776a9fe2ee10a3 (diff)
downloadghdl-95433bc986b16badf5a48ab00df861d0424ca983.tar.gz
ghdl-95433bc986b16badf5a48ab00df861d0424ca983.tar.bz2
ghdl-95433bc986b16badf5a48ab00df861d0424ca983.zip
testsuite/synth: add tests for previous commit.
Diffstat (limited to 'testsuite/synth/dispout01/pkg_rec05.vhdl')
-rw-r--r--testsuite/synth/dispout01/pkg_rec05.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/pkg_rec05.vhdl b/testsuite/synth/dispout01/pkg_rec05.vhdl
new file mode 100644
index 000000000..c73c67f05
--- /dev/null
+++ b/testsuite/synth/dispout01/pkg_rec05.vhdl
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+package rec05_pkg is
+ type myrec is record
+ a : unsigned (3 downto 0);
+ b : std_logic;
+ end record;
+end rec05_pkg;