aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/arr02
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-30 20:57:16 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-30 20:57:16 +0200
commitca8803efc8b2c52b813bf22df814c26e139889e2 (patch)
tree3f053777658887a7e7d69c181cde34f25c66aced /testsuite/synth/arr02
parent8a6f0ea2e97693dcefecfb29697f835729ead164 (diff)
downloadghdl-ca8803efc8b2c52b813bf22df814c26e139889e2.tar.gz
ghdl-ca8803efc8b2c52b813bf22df814c26e139889e2.tar.bz2
ghdl-ca8803efc8b2c52b813bf22df814c26e139889e2.zip
synth: adjust output for dyn_insert, add dpram2 test.
Diffstat (limited to 'testsuite/synth/arr02')
-rw-r--r--testsuite/synth/arr02/dpram2.vhdl24
-rw-r--r--testsuite/synth/arr02/tb_dpram2.vhdl40
-rwxr-xr-xtestsuite/synth/arr02/testsuite.sh2
3 files changed, 65 insertions, 1 deletions
diff --git a/testsuite/synth/arr02/dpram2.vhdl b/testsuite/synth/arr02/dpram2.vhdl
new file mode 100644
index 000000000..c21a0ee78
--- /dev/null
+++ b/testsuite/synth/arr02/dpram2.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity dpram2 is
+ port (raddr : std_logic_vector (3 downto 0);
+ rdat : out std_logic_vector (7 downto 0);
+ waddr : std_logic_vector (3 downto 0);
+ wdat : std_logic_vector (7 downto 0);
+ clk : std_logic);
+end dpram2;
+
+architecture behav of dpram2 is
+begin
+ process (clk)
+ type memtype is array (15 downto 0) of std_logic_vector (7 downto 0);
+ variable mem : memtype;
+ begin
+ if rising_edge (clk) then
+ rdat <= mem (to_integer(unsigned (raddr)));
+ mem (to_integer(unsigned (waddr))) := wdat;
+ end if;
+ end process;
+end behav;
diff --git a/testsuite/synth/arr02/tb_dpram2.vhdl b/testsuite/synth/arr02/tb_dpram2.vhdl
new file mode 100644
index 000000000..f66363345
--- /dev/null
+++ b/testsuite/synth/arr02/tb_dpram2.vhdl
@@ -0,0 +1,40 @@
+entity tb_dpram2 is
+end tb_dpram2;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dpram2 is
+ signal raddr : std_logic_vector(3 downto 0);
+ signal rdat : std_logic_vector(7 downto 0);
+ signal waddr : std_logic_vector(3 downto 0);
+ signal wdat : std_logic_vector(7 downto 0);
+ signal clk : std_logic;
+begin
+ dut: entity work.dpram2
+ port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
+ clk => clk);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ raddr <= "0000";
+ waddr <= x"a";
+ wdat <= x"5a";
+ pulse;
+
+ raddr <= x"a";
+ waddr <= x"7";
+ wdat <= x"87";
+ pulse;
+ assert rdat = x"5a" severity failure;
+
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/arr02/testsuite.sh b/testsuite/synth/arr02/testsuite.sh
index f11c3aa42..6dd2fb477 100755
--- a/testsuite/synth/arr02/testsuite.sh
+++ b/testsuite/synth/arr02/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-for t in rom1 dpram1; do
+for t in rom1 dpram1 dpram2; do
analyze $t.vhdl tb_$t.vhdl
elab_simulate tb_$t
clean