aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-04-06 09:06:29 +0200
committerTristan Gingold <tgingold@free.fr>2019-04-06 11:22:02 +0200
commitdd33f2648b1f258d210052c8ca5fd2644fcb0909 (patch)
tree2a07972b161e3fc4a81ef275f541811a9dcf2185 /testsuite/gna
parent002e468075bd861ba129171620e69a238a3fcab7 (diff)
downloadghdl-dd33f2648b1f258d210052c8ca5fd2644fcb0909.tar.gz
ghdl-dd33f2648b1f258d210052c8ca5fd2644fcb0909.tar.bz2
ghdl-dd33f2648b1f258d210052c8ca5fd2644fcb0909.zip
Add testcase for #792
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue792/dut.vhdl21
-rwxr-xr-xtestsuite/gna/issue792/testsuite.sh14
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue792/dut.vhdl b/testsuite/gna/issue792/dut.vhdl
new file mode 100644
index 000000000..49c299e68
--- /dev/null
+++ b/testsuite/gna/issue792/dut.vhdl
@@ -0,0 +1,21 @@
+entity dut is
+ port(
+ a : in string(1 to 1)
+ );
+end entity dut;
+architecture a of dut is
+ component dut_internal
+ port(
+ a : in string;
+ b : in string
+ );
+ end component dut_internal;
+ signal b : string(1 to 1);
+begin
+ inst : dut_internal
+ port map (
+ a => a
+ -- b => b
+ );
+end architecture a;
+
diff --git a/testsuite/gna/issue792/testsuite.sh b/testsuite/gna/issue792/testsuite.sh
new file mode 100755
index 000000000..30b3c89e0
--- /dev/null
+++ b/testsuite/gna/issue792/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure dut.vhdl
+
+clean
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure dut.vhdl
+
+clean
+
+echo "Test successful"