From dd33f2648b1f258d210052c8ca5fd2644fcb0909 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 6 Apr 2019 09:06:29 +0200 Subject: Add testcase for #792 --- testsuite/gna/issue792/dut.vhdl | 21 +++++++++++++++++++++ testsuite/gna/issue792/testsuite.sh | 14 ++++++++++++++ 2 files changed, 35 insertions(+) create mode 100644 testsuite/gna/issue792/dut.vhdl create mode 100755 testsuite/gna/issue792/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue792/dut.vhdl b/testsuite/gna/issue792/dut.vhdl new file mode 100644 index 000000000..49c299e68 --- /dev/null +++ b/testsuite/gna/issue792/dut.vhdl @@ -0,0 +1,21 @@ +entity dut is + port( + a : in string(1 to 1) + ); +end entity dut; +architecture a of dut is + component dut_internal + port( + a : in string; + b : in string + ); + end component dut_internal; + signal b : string(1 to 1); +begin + inst : dut_internal + port map ( + a => a + -- b => b + ); +end architecture a; + diff --git a/testsuite/gna/issue792/testsuite.sh b/testsuite/gna/issue792/testsuite.sh new file mode 100755 index 000000000..30b3c89e0 --- /dev/null +++ b/testsuite/gna/issue792/testsuite.sh @@ -0,0 +1,14 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure dut.vhdl + +clean + +export GHDL_STD_FLAGS=--std=08 +analyze_failure dut.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3