diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-04-15 20:36:07 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-04-15 20:36:07 +0200 |
commit | 3e0a352ff5ea20c6cf59be4cb09b223f218f02c3 (patch) | |
tree | 44778b5541655bc2e0a2ffb94059eaf01563d46d /testsuite/gna/issue797/tb.vhdl | |
parent | 898ef1b4e181e4bf46c045f6e56fb70ef8e5b04d (diff) | |
download | ghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.tar.gz ghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.tar.bz2 ghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.zip |
Add reproducer for #797
Diffstat (limited to 'testsuite/gna/issue797/tb.vhdl')
-rw-r--r-- | testsuite/gna/issue797/tb.vhdl | 19 |
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue797/tb.vhdl b/testsuite/gna/issue797/tb.vhdl new file mode 100644 index 000000000..2e173fed8 --- /dev/null +++ b/testsuite/gna/issue797/tb.vhdl @@ -0,0 +1,19 @@ +use work.pkg_c.all; + +entity test is +end entity; + +architecture tb of test is + constant block_len : natural := 3; +begin + main: process + variable val: integer; + begin + report "HELLO" severity note; + for x in 0 to block_len-1 loop + val := get(x); + set(block_len+x, val+1); + end loop; + wait; + end process; +end architecture; |