From 3e0a352ff5ea20c6cf59be4cb09b223f218f02c3 Mon Sep 17 00:00:00 2001
From: Tristan Gingold <tgingold@free.fr>
Date: Mon, 15 Apr 2019 20:36:07 +0200
Subject: Add reproducer for #797

---
 testsuite/gna/issue797/tb.vhdl | 19 +++++++++++++++++++
 1 file changed, 19 insertions(+)
 create mode 100644 testsuite/gna/issue797/tb.vhdl

(limited to 'testsuite/gna/issue797/tb.vhdl')

diff --git a/testsuite/gna/issue797/tb.vhdl b/testsuite/gna/issue797/tb.vhdl
new file mode 100644
index 000000000..2e173fed8
--- /dev/null
+++ b/testsuite/gna/issue797/tb.vhdl
@@ -0,0 +1,19 @@
+use work.pkg_c.all;
+
+entity test is
+end entity;
+
+architecture tb of test is
+  constant block_len : natural := 3;
+begin
+  main: process
+    variable val: integer;
+  begin
+    report "HELLO" severity note;
+	for x in 0 to block_len-1 loop
+      val := get(x);
+      set(block_len+x, val+1);
+    end loop;
+    wait;
+  end process;
+end architecture;
-- 
cgit v1.2.3