aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1751
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-05-06 18:56:41 +0200
committerTristan Gingold <tgingold@free.fr>2021-05-06 18:56:41 +0200
commitc14fc37207ff2f631c9fbd725c2ed03b9f5d1a02 (patch)
tree14a3d854855f65b0b4e427834d49bc7c8d0c5821 /testsuite/gna/issue1751
parent09f3b6ad9c45d7882739f999cee3f036f4bd2d6a (diff)
downloadghdl-c14fc37207ff2f631c9fbd725c2ed03b9f5d1a02.tar.gz
ghdl-c14fc37207ff2f631c9fbd725c2ed03b9f5d1a02.tar.bz2
ghdl-c14fc37207ff2f631c9fbd725c2ed03b9f5d1a02.zip
testsuite/gna: add a test for #1751
Diffstat (limited to 'testsuite/gna/issue1751')
-rw-r--r--testsuite/gna/issue1751/ent.vhdl18
-rwxr-xr-xtestsuite/gna/issue1751/testsuite.sh9
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue1751/ent.vhdl b/testsuite/gna/issue1751/ent.vhdl
new file mode 100644
index 000000000..bc807b635
--- /dev/null
+++ b/testsuite/gna/issue1751/ent.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity ent;
+
+architecture beh of ent is
+ signal sig_1 : std_logic;
+ alias a_sig_1 is sig_1;
+begin
+ process
+ begin
+ a_sig_1 <= force '1';
+ a_sig_1 <= release;
+ wait;
+ end process;
+end architecture beh;
diff --git a/testsuite/gna/issue1751/testsuite.sh b/testsuite/gna/issue1751/testsuite.sh
new file mode 100755
index 000000000..feb77e063
--- /dev/null
+++ b/testsuite/gna/issue1751/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL -s --std=08 ent.vhdl
+
+clean --std=08
+
+echo "Test successful"