From c14fc37207ff2f631c9fbd725c2ed03b9f5d1a02 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 6 May 2021 18:56:41 +0200 Subject: testsuite/gna: add a test for #1751 --- testsuite/gna/issue1751/ent.vhdl | 18 ++++++++++++++++++ testsuite/gna/issue1751/testsuite.sh | 9 +++++++++ 2 files changed, 27 insertions(+) create mode 100644 testsuite/gna/issue1751/ent.vhdl create mode 100755 testsuite/gna/issue1751/testsuite.sh (limited to 'testsuite/gna/issue1751') diff --git a/testsuite/gna/issue1751/ent.vhdl b/testsuite/gna/issue1751/ent.vhdl new file mode 100644 index 000000000..bc807b635 --- /dev/null +++ b/testsuite/gna/issue1751/ent.vhdl @@ -0,0 +1,18 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity ent is +end entity ent; + +architecture beh of ent is + signal sig_1 : std_logic; + alias a_sig_1 is sig_1; +begin + process + begin + a_sig_1 <= force '1'; + a_sig_1 <= release; + wait; + end process; +end architecture beh; diff --git a/testsuite/gna/issue1751/testsuite.sh b/testsuite/gna/issue1751/testsuite.sh new file mode 100755 index 000000000..feb77e063 --- /dev/null +++ b/testsuite/gna/issue1751/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +$GHDL -s --std=08 ent.vhdl + +clean --std=08 + +echo "Test successful" -- cgit v1.2.3