aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-16 16:19:03 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-16 16:19:03 +0200
commita347b47a28cdcb65e5594795947ed12547d4b21e (patch)
tree38e062e4bb74e3838643d71fb54db0f3b42e7d64
parent9f82c87370ec57fce0fb9f7e95dd7edec1b66e01 (diff)
downloadghdl-a347b47a28cdcb65e5594795947ed12547d4b21e.tar.gz
ghdl-a347b47a28cdcb65e5594795947ed12547d4b21e.tar.bz2
ghdl-a347b47a28cdcb65e5594795947ed12547d4b21e.zip
Testcase for ticket 64.
-rw-r--r--testsuite/gna/ticket64/bug2.vhdl15
-rw-r--r--testsuite/gna/ticket64/file.vhdl11
-rwxr-xr-xtestsuite/gna/ticket64/testsuite.sh13
3 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/gna/ticket64/bug2.vhdl b/testsuite/gna/ticket64/bug2.vhdl
new file mode 100644
index 000000000..2ead4dd04
--- /dev/null
+++ b/testsuite/gna/ticket64/bug2.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity ent2 is
+end entity;
+
+architecture a of ent2 is
+ procedure proc(constant value : std_logic_vector) is
+ constant l : natural := maximum (value'length, value'length);
+ begin
+ end procedure;
+begin
+end architecture;
+
diff --git a/testsuite/gna/ticket64/file.vhdl b/testsuite/gna/ticket64/file.vhdl
new file mode 100644
index 000000000..281bc7a07
--- /dev/null
+++ b/testsuite/gna/ticket64/file.vhdl
@@ -0,0 +1,11 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process is
+ begin
+ report to_string(1);
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket64/testsuite.sh b/testsuite/gna/ticket64/testsuite.sh
new file mode 100755
index 000000000..66b088184
--- /dev/null
+++ b/testsuite/gna/ticket64/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+analyze file.vhdl
+elab_simulate ent
+
+analyze bug2.vhdl
+
+clean
+
+echo "Test successful"