aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket64/bug2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/ticket64/bug2.vhdl')
-rw-r--r--testsuite/gna/ticket64/bug2.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/ticket64/bug2.vhdl b/testsuite/gna/ticket64/bug2.vhdl
new file mode 100644
index 000000000..2ead4dd04
--- /dev/null
+++ b/testsuite/gna/ticket64/bug2.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity ent2 is
+end entity;
+
+architecture a of ent2 is
+ procedure proc(constant value : std_logic_vector) is
+ constant l : natural := maximum (value'length, value'length);
+ begin
+ end procedure;
+begin
+end architecture;
+