aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-14 06:54:31 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-14 21:27:44 +0100
commita0ea3c04c7fdb1795ee8c5bd235cb838bb68af98 (patch)
tree75d936befa2c011c7935039b9e69f42fe63b61e9
parentc47d4c118d64fdb586485e08cde4b812bd48b899 (diff)
downloadghdl-a0ea3c04c7fdb1795ee8c5bd235cb838bb68af98.tar.gz
ghdl-a0ea3c04c7fdb1795ee8c5bd235cb838bb68af98.tar.bz2
ghdl-a0ea3c04c7fdb1795ee8c5bd235cb838bb68af98.zip
Testcase for previous patch.
-rw-r--r--testsuite/gna/bug082/repro.vhdl24
-rwxr-xr-xtestsuite/gna/bug082/testsuite.sh10
2 files changed, 34 insertions, 0 deletions
diff --git a/testsuite/gna/bug082/repro.vhdl b/testsuite/gna/bug082/repro.vhdl
new file mode 100644
index 000000000..7aadef405
--- /dev/null
+++ b/testsuite/gna/bug082/repro.vhdl
@@ -0,0 +1,24 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type t_axilite_if is record
+ write_address_channel : t_axilite_write_address_channel;
+ write_data_channel : t_axilite_write_data_channel;
+ write_response_channel : t_axilite_write_response_channel;
+ read_address_channel : t_axilite_read_address_channel;
+ read_data_channel : t_axilite_read_data_channel;
+ end record;
+begin
+
+ process
+ variable init_if : t_axilite_if
+ ( write_address_channel( awaddr( addr_width -1 downto 0)),
+ write_data_channel( wdata( data_width -1 downto 0),
+ wstrb(( data_width/8) -1 downto 0)),
+ read_address_channel( araddr( addr_width -1 downto 0)),
+ read_data_channel( rdata( data_width -1 downto 0)));
+ begin
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug082/testsuite.sh b/testsuite/gna/bug082/testsuite.sh
new file mode 100755
index 000000000..b44fe1761
--- /dev/null
+++ b/testsuite/gna/bug082/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure repro.vhdl
+
+clean
+
+echo "Test successful"