From a0ea3c04c7fdb1795ee8c5bd235cb838bb68af98 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 14 Dec 2017 06:54:31 +0100 Subject: Testcase for previous patch. --- testsuite/gna/bug082/repro.vhdl | 24 ++++++++++++++++++++++++ testsuite/gna/bug082/testsuite.sh | 10 ++++++++++ 2 files changed, 34 insertions(+) create mode 100644 testsuite/gna/bug082/repro.vhdl create mode 100755 testsuite/gna/bug082/testsuite.sh diff --git a/testsuite/gna/bug082/repro.vhdl b/testsuite/gna/bug082/repro.vhdl new file mode 100644 index 000000000..7aadef405 --- /dev/null +++ b/testsuite/gna/bug082/repro.vhdl @@ -0,0 +1,24 @@ +entity repro is +end; + +architecture behav of repro is + type t_axilite_if is record + write_address_channel : t_axilite_write_address_channel; + write_data_channel : t_axilite_write_data_channel; + write_response_channel : t_axilite_write_response_channel; + read_address_channel : t_axilite_read_address_channel; + read_data_channel : t_axilite_read_data_channel; + end record; +begin + + process + variable init_if : t_axilite_if + ( write_address_channel( awaddr( addr_width -1 downto 0)), + write_data_channel( wdata( data_width -1 downto 0), + wstrb(( data_width/8) -1 downto 0)), + read_address_channel( araddr( addr_width -1 downto 0)), + read_data_channel( rdata( data_width -1 downto 0))); + begin + wait; + end process; +end behav; diff --git a/testsuite/gna/bug082/testsuite.sh b/testsuite/gna/bug082/testsuite.sh new file mode 100755 index 000000000..b44fe1761 --- /dev/null +++ b/testsuite/gna/bug082/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure repro.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3