aboutsummaryrefslogtreecommitdiffstats
path: root/.github/CONTRIBUTING.md
diff options
context:
space:
mode:
authorumarcor <unai.martinezcorral@ehu.eus>2020-08-31 00:59:22 +0200
committertgingold <tgingold@users.noreply.github.com>2020-08-31 08:08:31 +0200
commit1c00b4812edb439f47938d074b9c4f73b2cc0ad3 (patch)
tree816fbcbb65594081b54c27c082c5dcc66f467889 /.github/CONTRIBUTING.md
parente3672365cf95b98135c2b65446995dcd85b92d5e (diff)
downloadghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.tar.gz
ghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.tar.bz2
ghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.zip
ci: use buildthedocs/btd to build and publish the docs to GHP
Diffstat (limited to '.github/CONTRIBUTING.md')
-rw-r--r--.github/CONTRIBUTING.md6
1 files changed, 3 insertions, 3 deletions
diff --git a/.github/CONTRIBUTING.md b/.github/CONTRIBUTING.md
index 9e881844a..3503a587b 100644
--- a/.github/CONTRIBUTING.md
+++ b/.github/CONTRIBUTING.md
@@ -2,8 +2,8 @@
GHDL is a free and open source software brought to you with :heart: by [@tgingold](https://github.com/tgingold) and [contributors](https://github.com/ghdl/ghdl/graphs/contributors). So, first off, thanks for taking the time to contribute. We need all the help we can get :thumbsup:
-- Found a bug? See how to [report a bug](http://ghdl.readthedocs.io/en/latest/contribute.html#reporting-bugs) and open a [Bug report](https://github.com/ghdl/ghdl/issues/new?template=bug_report.md).
-- Got a feature idea? See how to [request enhancements](http://ghdl.readthedocs.io/en/latest/contribute.html#requesting-enhancements) and open a [Feature request](https://github.com/ghdl/ghdl/issues/new?template=feature_request.md).
-- Want to contribute modifications to the codebase? See how to [contribute modifications](http://ghdl.readthedocs.io/en/latest/contribute.html#fork-modify-and-pull-request) and open a [Pull Request (PR)](https://github.com/ghdl/ghdl/compare/).
+- Found a bug? See how to [report a bug](http://ghdl.github.io/ghdl/contribute.html#reporting-bugs) and open a [Bug report](https://github.com/ghdl/ghdl/issues/new?template=bug_report.md).
+- Got a feature idea? See how to [request enhancements](http://ghdl.github.io/ghdl/contribute.html#requesting-enhancements) and open a [Feature request](https://github.com/ghdl/ghdl/issues/new?template=feature_request.md).
+- Want to contribute modifications to the codebase? See how to [contribute modifications](http://ghdl.github.io/ghdl/contribute.html#fork-modify-and-pull-request) and open a [Pull Request (PR)](https://github.com/ghdl/ghdl/compare/).
- Spread the word. Talk to your friends and colleagues about how awesome GHDL is!
- If you want to have any specific talk, e.g. add VHDL design examples, come to [gitter.im/ghdl1/Lobby](https://gitter.im/ghdl1/Lobby).