aboutsummaryrefslogtreecommitdiffstats
path: root/.github
diff options
context:
space:
mode:
authorumarcor <unai.martinezcorral@ehu.eus>2020-08-31 00:59:22 +0200
committertgingold <tgingold@users.noreply.github.com>2020-08-31 08:08:31 +0200
commit1c00b4812edb439f47938d074b9c4f73b2cc0ad3 (patch)
tree816fbcbb65594081b54c27c082c5dcc66f467889 /.github
parente3672365cf95b98135c2b65446995dcd85b92d5e (diff)
downloadghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.tar.gz
ghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.tar.bz2
ghdl-1c00b4812edb439f47938d074b9c4f73b2cc0ad3.zip
ci: use buildthedocs/btd to build and publish the docs to GHP
Diffstat (limited to '.github')
-rw-r--r--.github/CONTRIBUTING.md6
-rw-r--r--.github/PULL_REQUEST_TEMPLATE.md6
-rw-r--r--.github/workflows/doc.yml22
3 files changed, 25 insertions, 9 deletions
diff --git a/.github/CONTRIBUTING.md b/.github/CONTRIBUTING.md
index 9e881844a..3503a587b 100644
--- a/.github/CONTRIBUTING.md
+++ b/.github/CONTRIBUTING.md
@@ -2,8 +2,8 @@
GHDL is a free and open source software brought to you with :heart: by [@tgingold](https://github.com/tgingold) and [contributors](https://github.com/ghdl/ghdl/graphs/contributors). So, first off, thanks for taking the time to contribute. We need all the help we can get :thumbsup:
-- Found a bug? See how to [report a bug](http://ghdl.readthedocs.io/en/latest/contribute.html#reporting-bugs) and open a [Bug report](https://github.com/ghdl/ghdl/issues/new?template=bug_report.md).
-- Got a feature idea? See how to [request enhancements](http://ghdl.readthedocs.io/en/latest/contribute.html#requesting-enhancements) and open a [Feature request](https://github.com/ghdl/ghdl/issues/new?template=feature_request.md).
-- Want to contribute modifications to the codebase? See how to [contribute modifications](http://ghdl.readthedocs.io/en/latest/contribute.html#fork-modify-and-pull-request) and open a [Pull Request (PR)](https://github.com/ghdl/ghdl/compare/).
+- Found a bug? See how to [report a bug](http://ghdl.github.io/ghdl/contribute.html#reporting-bugs) and open a [Bug report](https://github.com/ghdl/ghdl/issues/new?template=bug_report.md).
+- Got a feature idea? See how to [request enhancements](http://ghdl.github.io/ghdl/contribute.html#requesting-enhancements) and open a [Feature request](https://github.com/ghdl/ghdl/issues/new?template=feature_request.md).
+- Want to contribute modifications to the codebase? See how to [contribute modifications](http://ghdl.github.io/ghdl/contribute.html#fork-modify-and-pull-request) and open a [Pull Request (PR)](https://github.com/ghdl/ghdl/compare/).
- Spread the word. Talk to your friends and colleagues about how awesome GHDL is!
- If you want to have any specific talk, e.g. add VHDL design examples, come to [gitter.im/ghdl1/Lobby](https://gitter.im/ghdl1/Lobby).
diff --git a/.github/PULL_REQUEST_TEMPLATE.md b/.github/PULL_REQUEST_TEMPLATE.md
index 8270c9713..18c1e6459 100644
--- a/.github/PULL_REQUEST_TEMPLATE.md
+++ b/.github/PULL_REQUEST_TEMPLATE.md
@@ -1,7 +1,7 @@
**Description** Please explain the changes you made here.
If the feature changes current behaviour, explain why your solution is better.
-:rotating_light: Before submitting your PR, please read [contribute](http://ghdl.readthedocs.io/en/latest/contribute.html#fork-modify-and-pull-request) in the [Docs](http://ghdl.readthedocs.io/en/latest/index.html), and review the following checklist:
+:rotating_light: Before submitting your PR, please read [contribute](http://ghdl.github.io/ghdl/contribute.html#fork-modify-and-pull-request) in the [Docs](http://ghdl.github.io/ghdl), and review the following checklist:
- [ ] DO indicate which issues are either fixed or closed by this PR. See [GitHub Help: Closing issues using keywords](https://help.github.com/articles/closing-issues-via-commit-messages/).
@@ -11,13 +11,13 @@ If the feature changes current behaviour, explain why your solution is better.
- [ ] DO make sure you are making a pull request against the **master branch** (left side). Also you should start *your branch* off *our master*.
- [ ] DO make sure that GHDL can be successfully built. See [Building GHDL](https://github.com/ghdl/ghdl#building-ghdl).
- [ ] CONSIDER adding a unit test if your PR resolves an issue.
-- [ ] CONSIDER modifying the docs, at least in the TODO, if your contribution is relevant to any of the content.
+- [ ] CONSIDER modifying the docs, if your contribution is relevant to any of the content.
- [ ] AVOID breaking the continuous integration build.
- [ ] AVOID breaking the testsuite.
**When contributing to the docs...**
-- [ ] DO make sure that the build is successful. See [ghdl/ghdl#572 (issuecomment-390466024)](https://github.com/ghdl/ghdl/issues/572#issuecomment-390466024).
+- [ ] DO make sure that the build is successful.
**Further comments**
diff --git a/.github/workflows/doc.yml b/.github/workflows/doc.yml
index 9dd708a5c..fe5d0cc18 100644
--- a/.github/workflows/doc.yml
+++ b/.github/workflows/doc.yml
@@ -2,12 +2,28 @@ name: 'doc'
on:
push:
- pull_request:
jobs:
linux:
runs-on: ubuntu-latest
steps:
+
- uses: actions/checkout@v2
- - name: build doc
- run: ./doc/make.sh
+
+ - name: Build ghdl/doc
+ run: |
+ docker build -t ghdl/doc - <<-EOF
+ FROM ghdl/vunit:llvm
+ ENV PYTHONPATH=/src/python
+ EOF
+
+ - uses: buildthedocs/btd@v0
+ with:
+ token: ${{ github.token }}
+
+ - uses: actions/upload-artifact@v2
+ with:
+ name: doc
+ path: |
+ doc/_build/html
+ doc/_build/latex