blob: bafb6b5db826893f745545e5148b240a48a83cfe (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
|
library ieee;
use ieee.std_logic_1164.all;
entity vram is
generic (
addr_width : natural := 17;
video_width : natural := 2
);
port (
wr_clk : in std_logic;
wr_en : in std_logic;
wr_addr : in std_logic_vector(addr_width-1 downto 0);
wr_data : in std_logic_vector(video_width-1 downto 0);
rd_clk : in std_logic;
rd_addr : in std_logic_vector(addr_width-1 downto 0);
rd_data : out std_logic_vector(video_width-1 downto 0)
);
end vram;
architecture beh of vram is
begin
vram_impl0 : entity work.vram_cyclone4_impl
port map (
wrclock => wr_clk,
wren => wr_en,
wraddress => wr_addr,
data => wr_data,
rdclock => rd_clk,
q => rd_data,
rdaddress => rd_addr
);
end beh;
|