summaryrefslogtreecommitdiffstats
path: root/ccd.vhd
blob: c4e66ad87b7b0ef55757df94580361ae151a8f5b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.numeric_std.all;

entity ccd is
    port
        (
            n_reset : in  std_logic;
            clk     : in  std_logic;
            d       : in  std_logic;
            q       : out std_logic
            );
end ccd;


architecture rtl of ccd is

    component dflipflop is
        port (
            n_reset : in  std_logic;
            clk     : in  std_logic;
            d       : in  std_logic;
            q       : out std_logic
            );
    end component;

    signal d1 :
        std_logic;
begin

    dff1 :
        dflipflop port map (
            n_reset => n_reset,
            d       => d,
            clk     => clk,
            q       => d1
            );
    dff2 :
        dflipflop port map (
            n_reset => n_reset,
            d       => d1,
            clk     => clk,
            q       => q
            );

end rtl;