summaryrefslogtreecommitdiffstats
path: root/spdif.sdc
diff options
context:
space:
mode:
Diffstat (limited to 'spdif.sdc')
-rw-r--r--spdif.sdc4
1 files changed, 2 insertions, 2 deletions
diff --git a/spdif.sdc b/spdif.sdc
index e0eb00c..f7c36c5 100644
--- a/spdif.sdc
+++ b/spdif.sdc
@@ -7,9 +7,9 @@ set_time_format -unit ns -decimal_places 3
##############################################################################
# Create Input reference clocks
create_clock -name {xtal_50mhz} -period 20.000 -waveform { 0.000 10.000 } [get_ports { xtal_50mhz }]
-create_clock -name {det1_clk} -period 10.000 -waveform { 0.000 5.000 } [get_nets { det1|divider:div1|q }]
+# create_clock -name {det1_clk} -period 10.000 -waveform { 0.000 5.000 } [get_nets { det1|divider:div1|q }]
create_clock -name {det2_clk} -period 25.000 -waveform { 0.000 12.500 } [get_nets { det2|divider:div1|q }]
-create_clock -name {det3_clk} -period 40.000 -waveform { 0.000 20.000 } [get_nets { det3|divider:div1|q }]
+# create_clock -name {det3_clk} -period 40.000 -waveform { 0.000 20.000 } [get_nets { det3|divider:div1|q }]
##############################################################################
# Now that we have created the custom clocks which will be base clocks,