summaryrefslogtreecommitdiffstats
path: root/spdif.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'spdif.qsf')
-rw-r--r--spdif.qsf2
1 files changed, 1 insertions, 1 deletions
diff --git a/spdif.qsf b/spdif.qsf
index b57bdf8..e1ddff1 100644
--- a/spdif.qsf
+++ b/spdif.qsf
@@ -31,7 +31,6 @@ set_location_assignment PIN_114 -to n_stby_out
set_location_assignment PIN_41 -to dbg1
set_location_assignment PIN_101 -to dbg2
set_global_assignment -name VHDL_FILE ccd.vhd
-set_global_assignment -name VHDL_FILE clock_recovery.vhd
set_global_assignment -name VHDL_FILE counter.vhd
set_global_assignment -name VHDL_FILE dflipflop.vhd
set_global_assignment -name VHDL_FILE pll100.vhd
@@ -39,6 +38,7 @@ set_global_assignment -name VHDL_FILE pll200.vhd
set_global_assignment -name VHDL_FILE spdif.vhd
set_global_assignment -name VHDL_FILE bmc_decoder.vhd
set_global_assignment -name VHDL_FILE spdif_decoder.vhd
+set_global_assignment -name VHDL_FILE silence_detector.vhd
set_global_assignment -name SOURCE_FILE db/spdif.cmp.rdb
set_global_assignment -name SDC_FILE spdif.sdc