summaryrefslogtreecommitdiffstats
path: root/spdif.vhd
diff options
context:
space:
mode:
authorroot <root@no.no.james.local>2018-05-29 16:14:18 +0100
committerroot <root@no.no.james.local>2018-05-29 16:14:18 +0100
commit5749bc2572e4be1acea0d428e8d928a95b170701 (patch)
tree9000196f333163c345135523d53c89468130a5d7 /spdif.vhd
parent7ab8f32168e55169c605c1a66446091f533ccdad (diff)
downloadrob_spdif-5749bc2572e4be1acea0d428e8d928a95b170701.tar.gz
rob_spdif-5749bc2572e4be1acea0d428e8d928a95b170701.tar.bz2
rob_spdif-5749bc2572e4be1acea0d428e8d928a95b170701.zip
new pinout and ledHEADmaster
Diffstat (limited to 'spdif.vhd')
-rw-r--r--spdif.vhd11
1 files changed, 8 insertions, 3 deletions
diff --git a/spdif.vhd b/spdif.vhd
index ce2f584..60c0526 100644
--- a/spdif.vhd
+++ b/spdif.vhd
@@ -9,6 +9,8 @@ entity spdif is
spdif_in : in std_logic;
n_rst_in : in std_logic;
+ led_r : out std_logic;
+ led_g : out std_logic;
n_leds : out std_logic_vector(2 downto 0);
n_mute_out : out std_logic;
n_stby_out : out std_logic;
@@ -118,9 +120,9 @@ begin
-- mute => mute3
-- );
- mute1 <= '1';
- mute3 <= '1';
- mute <= mute1 and mute2 and mute3;
+ mute1 <= '1';
+ mute3 <= '1';
+ mute <= mute1 and mute2 and mute3;
n_mute_out <= not mute;
n_stby_out <= not mute;
@@ -129,6 +131,9 @@ begin
n_leds(1) <= mute2;
n_leds(2) <= mute3;
+ led_r <= mute;
+ led_g <= not mute;
+
end rtl;