aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic00.sv
blob: 387f3deef6ca6b80bcadeaa0859e7e73c110eac9 (plain)
1
2
3
4
5
6
7
module top (input clk, reset, antecedent, output reg consequent);
	always @(posedge clk)
		consequent <= reset ? 0 : antecedent;

	test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
			else $error("Failed with consequent = ", $sampled(consequent));
endmodule