aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic00.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic00.sv')
-rw-r--r--tests/sva/basic00.sv7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/sva/basic00.sv b/tests/sva/basic00.sv
new file mode 100644
index 000000000..387f3deef
--- /dev/null
+++ b/tests/sva/basic00.sv
@@ -0,0 +1,7 @@
+module top (input clk, reset, antecedent, output reg consequent);
+ always @(posedge clk)
+ consequent <= reset ? 0 : antecedent;
+
+ test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
+ else $error("Failed with consequent = ", $sampled(consequent));
+endmodule