aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* Fixed undef behavior in tests/asicworld/code_verilog_tutorial_fsm_full_tb.vClifford Wolf2013-05-241-1/+3
* Removed test cases that have been moved to yosys-test.Clifford Wolf2013-05-1783-18963/+0
* Improved vcdcd.pl (added -d option)Clifford Wolf2013-05-141-8/+82
* Some improvements in vcdcd.plClifford Wolf2013-05-141-4/+16
* Fixed a bug in AST frontend for cases with non-blocking assigned variables as...Clifford Wolf2013-04-131-0/+19
* Now only use value from "initial" when no matching "always" block is foundClifford Wolf2013-03-312-14/+1
* Added test cases from 2012 paper on comparison of foss verilog synthesis toolsClifford Wolf2013-03-316-0/+111
* Added k68 (m68k compatible cpu) test case from verilatorClifford Wolf2013-03-313-0/+61
* Renamed hansimem.v test case to mem_arst.vClifford Wolf2013-03-241-1/+0
* Added hansimem testcase (memory with async reset)Clifford Wolf2013-03-241-0/+44
* Set execute bit on tests/openmsp430/run-synth.sh for realClifford Wolf2013-03-171-0/+0
* set executable flags to run-synth.sh, added .gitignoreJohann Glaser2013-03-171-0/+3
* added ckeck for Icarus Verilog, otherwise the tests are silently stoppedJohann Glaser2013-03-171-0/+7
* added more .gitignore files (make test)Clifford Wolf2013-01-054-0/+7
* initial importClifford Wolf2013-01-05367-0/+28611