aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/dsp_map.v
Commit message (Expand)AuthorAgeFilesLines
* D is 25 bits not 24 bits wideEddie Hung2019-09-191-1/+1
* Set more ports explicitlyEddie Hung2019-09-121-1/+2
* Set USE_MULT and USE_SIMDEddie Hung2019-09-091-1/+3
* Remove signed from ports in +/xilinx/dsp_map.vEddie Hung2019-08-081-1/+1
* INMODE is 5 bitsEddie Hung2019-08-081-1/+1
* Add paramsEddie Hung2019-07-181-0/+6
* Make all operands signedEddie Hung2019-07-171-1/+1
* SignednessEddie Hung2019-07-161-1/+1
* Revert drop down to 24x16 multipliers for allEddie Hung2019-07-161-3/+3
* Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-07-161-3/+3
|\
* | Move DSP mapping back out to dsp_map.vEddie Hung2019-07-151-0/+40
|/
* Move dsp_map.v into cells_map.v; cleanup synth_xilinx a littleEddie Hung2019-07-101-40/+0
* xc7: Map combinational DSP48E1sDavid Shah2019-07-081-0/+40