aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5
Commit message (Expand)AuthorAgeFilesLines
* abc9: replace cell type/parameters if derived type already processed (#2991)Eddie Hung2021-09-091-1/+1
* [ECP5] fix wrong link for syn_* attributes description (#2984)kittennbfive2021-08-292-2/+2
* Add DLLDELDECP5-PCIe2021-08-221-0/+9
* ecp5: Add DCSC blackboxgatecat2021-07-061-0/+10
* Use HTTPS for website links, gatecat emailClaire Xenia Wolf2021-06-093-3/+3
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-083-4/+4
* Add missing parameters for MULT18X18D and ALU54B to ECP5 techlib.Adam Greig2021-05-121-0/+22
* Blackbox all whiteboxes after synthesisgatecat2021-03-171-0/+1
* Replace opt_rmdff with opt_dff.Marcelina Kościelnicka2020-08-071-11/+15
* ecp5: Use dfflegalize.Marcelina Kościelnicka2020-07-054-254/+96
* Update dff2dffe, dff2dffs, zinit to new FF types.Marcelina Kościelnicka2020-06-232-31/+31
* Use C++11 final/override keywords.whitequark2020-06-183-9/+9
* ecp5: cleanup unused +/ecp5/abc9_model.vEddie Hung2020-05-233-14/+0
* Add force_downto and force_upto wire attributes.Marcelina Kościelnicka2020-05-192-0/+11
* ecp5: latches_map.v if *not* -asyncprldEddie Hung2020-05-141-2/+2
* ecp5: synth_ecp5 to no longer need +/ecp5/abc9_{,un}map.vEddie Hung2020-05-144-43/+3
* ecp5: fix rebase mistakeEddie Hung2020-05-141-3/+3
* ecp5: TRELLIS_FF bypass path only in async modeEddie Hung2020-05-141-8/+8
* xilinx/ice40/ecp5: zinit requires selected wires, so select them allEddie Hung2020-05-141-1/+1
* xilinx/ecp5/ice40: add (* abc9_flop *) to bypass-able cellsEddie Hung2020-05-141-3/+26
* abc9_ops: add -prep_bypass for auto bypass boxes; refactorEddie Hung2020-05-141-1/+1
* synth_*: no need to explicitly read +/abc9_model.vEddie Hung2020-05-141-1/+1
* Revert "ecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-init"Eddie Hung2020-05-143-220/+64
* ecp5: (* abc9_flop *) gated behind YOSYSEddie Hung2020-05-141-0/+2
* ecp5: add synth_ecp5 -dff to work with -abc9Eddie Hung2020-05-142-12/+47
* ecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-initEddie Hung2020-05-143-64/+220
* ecp5: Add missing SERDES parametersDavid Shah2020-05-121-0/+4
* synth_ice40/ecp5/xilinx: allow abc9.W to be overridden via scratchpadEddie Hung2020-05-041-0/+13
* gowin,ecp5: remove generated files in `make clean`.whitequark2020-04-241-0/+9
* ecp5: ecp5_gsr to skip cells that don't have GSR parameter againEddie Hung2020-04-221-1/+1
* Cleanup use of hard-coded default parameters in light of #1945Eddie Hung2020-04-222-12/+6
* ecp5: Force SIGNED ports to be 1 bitDavid Shah2020-04-161-1/+1
* Get rid of dffsr2dff.Marcelina Kościelnicka2020-04-151-1/+0
* Merge pull request #1603 from whitequark/ice40-ram_stylewhitequark2020-04-103-1/+74
|\
| * ecp5: do not map FFRAM if explicitly requested otherwise.whitequark2020-04-031-1/+3
| * ecp5: add support for both 1364.1 and LSE RAM/ROM attributes.whitequark2020-02-062-0/+71
* | kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-022-24/+24
* | Merge pull request #1716 from zeldin/ecp5_fixN. Engelhardt2020-03-091-2/+0
|\ \
| * | remove unused parametersN. Engelhardt2020-03-061-3/+0
| * | ecp5: Add missing parameter to \$__ECP5_PDPW16KDMarcus Comstedt2020-02-221-0/+1
| |/
* | synth_ecp5: use +/abc9_model.vEddie Hung2020-02-271-1/+1
* | ecp5: remove small LUT entriesEddie Hung2020-02-271-24/+6
* | ecp5: deprecate abc9_{arrival,required} and *.{lut,box}Eddie Hung2020-02-277-86/+120
|/
* synth_*: call 'opt -fast' after 'techmap'Eddie Hung2020-02-051-0/+1
* Add opt_lut_ins pass. (#1673)Marcelina Kościelnicka2020-02-031-0/+1
* xilinx/ice40/ecp5: undo permuting LUT masks in lut_mapEddie Hung2020-01-271-77/+55
* Merge remote-tracking branch 'origin/master' into eddie/abc9_mfsEddie Hung2020-01-074-35/+31
|\
| * Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2020-01-064-4/+4
| |\
| * \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2020-01-021-2/+2
| |\ \
| * | | Missing characterEddie Hung2019-12-311-1/+1