aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Expand)AuthorAgeFilesLines
* passes: show: Touch chunk iteration in gen_portboxMartin Povišer2023-01-131-8/+11
* passes: show: Label no_signode flagMartin Povišer2023-01-131-20/+19
* passes: show: Simplify wire bit range logicMartin Povišer2023-01-131-8/+10
* passes: show: Factor out 'join_label_pieces'Martin Povišer2023-01-131-20/+35
* passes: show: Label signed_suffix flagMartin Povišer2023-01-131-3/+6
* passes: show: s/idx/dot_idx/ for readabilityMartin Povišer2023-01-131-7/+7
* passes: show: Fix portbox bit ranges in case of driven signalsMartin Povišer2023-01-131-1/+1
* Merge pull request #3605 from gadfort/stat-json-areaN. Engelhardt2023-01-111-0/+3
|\
| * stat: ensure area is included in json outputPeter Gadfort2022-12-291-0/+3
* | Merge branch 'master' into claire/eqystuffClaire Xen2023-01-114-36/+36
|\ \
| * \ Merge pull request #3537 from jix/xpropJannis Harder2023-01-1110-35/+1508
| |\ \
| * | | Deprecate gcc-4.8Miodrag Milanovic2023-01-114-36/+36
* | | | Merge branch 'master' of github.com:YosysHQ/yosys into claire/eqystuffClaire Xenia Wolf2023-01-115-5/+25
|\| | |
| * | | qbfsat support for cvc5, fixes #3608Miodrag Milanovic2023-01-092-3/+7
| | |/ | |/|
| * | formalff: Proper error messages on async inputs for the -clk2ff modeJannis Harder2022-12-091-0/+3
| * | stat: Fix JSON output for empty designsJannis Harder2022-12-021-2/+2
| * | tee: Allow logging command output to a given scratchpad valueJannis Harder2022-12-021-0/+13
* | | Merge branch 'claire/eqystuff' of github.com:YosysHQ/yosys into claire/eqystuffClaire Xenia Wolf2022-12-211-14/+10
|\ \ \
| * | | xprop: Improve signal splitting codeJannis Harder2022-12-121-14/+10
* | | | Allow non-unique modules without state in sim writeback-modeClaire Xenia Wolf2022-12-211-4/+5
* | | | Small bugfix in uniquify passClaire Xenia Wolf2022-12-211-0/+1
|/ / /
* | | Improvements in "viz" passClaire Xenia Wolf2022-12-091-24/+100
* | | Add gold-x handing to miter cross port handlingClaire Xenia Wolf2022-12-081-1/+9
* | | Merge branch 'claire/eqystuff' of github.com:YosysHQ/yosys into claire/eqystuffClaire Xenia Wolf2022-12-081-0/+39
|\ \ \
| * | | xprop: Add -split-public optionJannis Harder2022-12-081-0/+39
* | | | Improvements in "viz" commandClaire Xenia Wolf2022-12-071-17/+51
|/ / /
* | | Improvements in "viz" passClaire Xenia Wolf2022-12-071-313/+453
* | | Various improvements in "viz" commandClaire Xenia Wolf2022-12-061-72/+242
* | | Bugfix in splitcells passClaire Xenia Wolf2022-12-061-5/+13
* | | Improvements in "viz" commandClaire Xenia Wolf2022-12-041-45/+196
* | | Add "viz" pass for visualizing big-picture data flow in larger designsClaire Xenia Wolf2022-12-042-0/+511
* | | Add splitcells passClaire Xenia Wolf2022-12-042-0/+192
* | | Merge branch 'xprop' of github.com:jix/yosys into claire/eqystuffClaire Xenia Wolf2022-12-0110-35/+1508
|\ \ \ | | |/ | |/|
| * | miter: Add -make_cover option to cover each output pair differenceJannis Harder2022-11-301-0/+14
| * | formalff: Fix -ff2anyinit assertion error for fine FFsJannis Harder2022-11-301-0/+2
| * | New xprop pass to encode 3-valued x-propagation using 2-valued logicJannis Harder2022-11-302-0/+1199
| * | sim: Improved global clock handlingJannis Harder2022-11-301-13/+14
| * | opt_expr: Optimizations for `$bweqx` and `$bwmux`Jannis Harder2022-11-301-0/+63
| * | Add bwmuxmap passJannis Harder2022-11-302-0/+71
| * | Add bitwise `$bweqx` and `$bwmux` cellsJannis Harder2022-11-302-6/+29
| * | opt_expr: Fix shift/shiftx optimizationsJannis Harder2022-11-301-3/+3
| * | opt_expr: Constant fold mux, pmux, bmux, demux, eqx, nex cellsJannis Harder2022-11-291-0/+33
| * | opt_expr: Optimize bitwise logic ops with one fully const inputJannis Harder2022-11-291-0/+81
| * | simplemap: Map `$xnor` to `$_XNOR_` cellsJannis Harder2022-11-291-15/+1
| |/
* / Add insbuf -chain modeClaire Xenia Wolf2022-12-011-2/+38
|/
* sat: Add -set-def-formal option to force defined $any* outputsJannis Harder2022-11-281-6/+22
* Support importing verilog configurations using VerificMiodrag Milanovic2022-11-251-1/+1
* mention prerequisites in fsm_detect and fsm helpN. Engelhardt2022-11-212-0/+18
* Rst docs conversion (#3496)KrystalDelusion2022-11-152-1/+3
* sim: Run a comb-only update step to set past values during FST cosimJannis Harder2022-11-071-12/+11