aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1601 from YosysHQ/eddie/synth_retimeEddie Hung2020-01-021-11/+15
|\
| * Revert "ABC to call retime all the time"Eddie Hung2019-12-301-11/+15
* | take skip wire bits into accountMiodrag Milanovic2020-01-011-0/+3
* | GrammarEddie Hung2019-12-301-1/+1
|/
* fixed invalid charMiodrag Milanovic2019-12-251-1/+1
* iopadmap: Emit tristate buffers with const OE for some edge cases.Marcin Kościelnicki2019-12-251-23/+68
* Minor nit fixesMarcin Kościelnicki2019-12-251-2/+2
* Fix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG tooEddie Hung2019-12-231-8/+18
* Fix CEA/CEB checkEddie Hung2019-12-231-2/+2
* Fix checking CE[AB] and for direct connectionsEddie Hung2019-12-231-18/+40
* Support unregistered cascades for A and B inputsEddie Hung2019-12-231-47/+74
* Add DSP48A* PCOUT -> PCIN cascade supportEddie Hung2019-12-231-10/+10
* xilinx_dsp: Initial DSP48A/DSP48A1 support.Marcin Kościelnicki2019-12-224-11/+886
* Merge pull request #1585 from YosysHQ/eddie/fix_abc9_lutEddie Hung2019-12-201-19/+18
|\
| * Interpret "abc9 -lut" as lut string only if [0-9:]Eddie Hung2019-12-181-19/+18
* | Merge pull request #1581 from YosysHQ/clifford/fix1565Eddie Hung2019-12-191-1/+1
|\ \ | |/ |/|
| * Fix sim for assignments with lhs<rhs size, fixes #1565Clifford Wolf2019-12-171-1/+1
* | Merge branch 'master' of github.com:YosysHQ/yosysEddie Hung2019-12-183-2/+147
|\ \
| * \ Merge pull request #1572 from nakengelhardt/scratchpad_passEddie Hung2019-12-182-0/+131
| |\ \
| | * | use extra_argsN. Engelhardt2019-12-181-1/+1
| | * | add assert option to scratchpad commandN. Engelhardt2019-12-161-5/+44
| | * | add periods and newlines to help messageN. Engelhardt2019-12-131-5/+5
| | * | add test and make help message more verboseN. Engelhardt2019-12-121-1/+6
| | * | add a command to read/modify scratchpad contentsN. Engelhardt2019-12-122-0/+87
| * | | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-2/+16
| | |/ | |/|
* / | CleanupEddie Hung2019-12-171-11/+7
|/ /
* | Enforce non-existenceEddie Hung2019-12-161-0/+4
* | Update docEddie Hung2019-12-161-4/+6
* | More sloppiness, thanks @dh73 for spottingEddie Hung2019-12-161-4/+4
* | OopsEddie Hung2019-12-161-4/+1
* | Implement 'attributes' grammarEddie Hung2019-12-161-80/+88
* | Merge branch 'diego/memattr' of https://github.com/dh73/yosys into diego/memattrEddie Hung2019-12-161-1/+90
|\ \
| * | Fixing compiler warning/issues. Moving test script to the correct placeDiego H2019-12-161-8/+8
| * | Merging attribute rules into a single match block; Adding testsDiego H2019-12-151-68/+80
| * | Refactoring memory attribute matching based on IEEE 1364.1 and Tool specificDiego H2019-12-131-0/+77
| |/
* / Fix opt_expr.eqneq.cmpzero debug printAlyssa Milburn2019-12-151-1/+1
|/
* Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-091-8/+67
|\
| * ice40_wrapcarry -unwrap to preserve 'src' attributeEddie Hung2019-12-091-1/+9
| * -unwrap to create $lut not SB_LUT4 for opt_lutEddie Hung2019-12-091-7/+5
| * Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4Eddie Hung2019-12-091-7/+11
| * ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-091-17/+55
| * Drop keep=0 attributes on SB_CARRYEddie Hung2019-12-061-0/+8
| * Merge SB_CARRY+SB_LUT4's attributes when creating $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+1
| * ice40_wrapcarry to preserve SB_CARRY's attributesEddie Hung2019-12-031-0/+2
* | iopadmap: Refactor and fix tristate buffer mapping. (#1527)Marcin Kościelnicki2019-12-041-146/+97
|/
* abc9: Fix breaking of SCCsDavid Shah2019-12-011-29/+40
* Merge pull request #1536 from YosysHQ/eddie/xilinx_dsp_muladdEddie Hung2019-11-271-3/+3
|\
| * Check for either sign or zero extension for postAdd packingEddie Hung2019-11-261-3/+3
* | Merge pull request #1501 from YosysHQ/dave/mem_copy_attrClifford Wolf2019-11-271-0/+4
|\ \
| * | memory_collect: Copy attr from RTLIL::Memory to cellDavid Shah2019-11-181-0/+4