aboutsummaryrefslogtreecommitdiffstats
path: root/passes/proc/proc_mux.cc
Commit message (Expand)AuthorAgeFilesLines
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
* Use C++11 final/override keywords.whitequark2020-06-181-2/+2
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-14/+14
* kernel: use more ID::*Eddie Hung2020-04-021-19/+19
* proc_mux: consider \src attribute on CaseRule.whitequark2019-07-081-10/+16
* Improve proc full_case detection and handling, fixes #931Clifford Wolf2019-04-181-0/+50
* Revert #895Eddie Hung2019-04-161-28/+0
* proc_mux: Fix crash when trying to optimize non-existant mux to shiftxSylvain Munaut2019-04-031-1/+1
* Create one $shiftx per bit in widthEddie Hung2019-03-251-10/+17
* Add a pmux-to-shiftx optimisation to proc_muxEddie Hung2019-03-231-0/+21
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-2/+2
* Added "proc_mux -ifx"Clifford Wolf2016-06-061-17/+31
* Fixed proc_mux performance bugClifford Wolf2016-04-251-0/+3
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
* Improved proc_mux performance for huge always blocksClifford Wolf2015-12-021-36/+153
* Fixed trailing whitespacesClifford Wolf2015-07-021-3/+3
* namespace YosysClifford Wolf2014-09-271-7/+11
* Renamed port access function on RTLIL::Cell, added param access functionsClifford Wolf2014-07-311-15/+15
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-311-2/+2
* Using log_assert() instead of assert()Clifford Wolf2014-07-281-7/+6
* Using new obj iterator API in a few placesClifford Wolf2014-07-271-5/+5
* Refactoring: Renamed RTLIL::Design::modules to modules_Clifford Wolf2014-07-271-1/+1
* Changed a lot of code to the new RTLIL::Wire constructorsClifford Wolf2014-07-261-12/+3
* Manual fixes for new cell connections APIClifford Wolf2014-07-261-2/+9
* Changed users of cell->connections_ to the new API (sed command)Clifford Wolf2014-07-261-15/+15
* Renamed RTLIL::{Module,Cell}::connections to connections_Clifford Wolf2014-07-261-15/+15
* Use only module->addCell() and module->remove() to create and delete cellsClifford Wolf2014-07-251-14/+3
* Refactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ..) constructor -- step 3/3Clifford Wolf2014-07-231-2/+2
* Refactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ..) constructor -- step 2/3Clifford Wolf2014-07-231-2/+2
* Fixed memory corruption with new SigSpec API in proc_muxClifford Wolf2014-07-221-7/+3
* SigSpec refactoring: using the accessor functions everywhereClifford Wolf2014-07-221-22/+22
* SigSpec refactoring: renamed chunks and width to __chunks and __widthClifford Wolf2014-07-221-22/+22
* Tiny cleanup in proc_mux.ccClifford Wolf2014-01-031-3/+0
* Fixed handling of boolean attributes (passes)Clifford Wolf2013-10-241-1/+1
* Added help messages to proc_* passesClifford Wolf2013-03-011-3/+15
* initial importClifford Wolf2013-01-051-0/+294