aboutsummaryrefslogtreecommitdiffstats
path: root/passes/equiv
Commit message (Collapse)AuthorAgeFilesLines
* Add "check -assert" to equiv_optClaire Xenia Wolf2022-10-071-1/+13
| | | | Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
* Exclude primary inputs from quiv_make rewiringClaire Xenia Wolf2022-10-071-0/+7
| | | | Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
* Revert "Merge pull request #641 from tklam/master"Claire Xenia Wolf2022-10-071-81/+5
| | | | | | | | | | This reverts commit 08be796cb8b1890923e459cda92211fda763f0c1, reversing changes made to 38dbb44fa0815b1fe80e68e17798aaa341d998cd. This fixes #2728. PR #641 did not actually "fix" #639. The actual issue in #639 is not equiv_make, but assumptions in equiv_simple that are not true for the test case provided in #639.
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-0810-10/+10
| | | | | | | | s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+Shah\s+<(dave|david)@(symbioticeda.com|yosyshq.com|ds0.me)>/David Shah <dave@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/,http://yosyshq.net/yosys/,g;
* equiv: Suggest running async2sync or clk2fflogic where appropriate.Marcelina Kościelnicka2021-03-302-3/+10
| | | | See #2713.
* use the new isPublic() in a few placesN. Engelhardt2020-09-142-6/+6
|
* equiv_induct: Fix up assumption for $equiv cells in -undef mode.Marcelina Kościelnicka2020-07-271-1/+3
| | | | | | | | | | | | | | | | Before this fix, equiv_induct only assumed that one of the following is true: - defined value of A is equal to defined value of B - A is undefined This lets through valuations where A is defined, B is undefined, and the defined (meaningless) value of B happens to match the defined value of A. Instead, tighten this up to OR of the following: - defined value of A is equal to defined value of B, and B is not undefined - A is undefined
* Use C++11 final/override keywords.whitequark2020-06-1811-24/+24
|
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-0210-29/+29
|
* kernel: use more ID::*Eddie Hung2020-04-028-41/+41
|
* xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-2/+16
|
* Add -async2sync to help text as per @daveshah1Eddie Hung2019-10-041-1/+4
|
* Restore part of docEddie Hung2019-10-031-1/+2
|
* Add new -async2sync optionEddie Hung2019-10-031-1/+11
|
* Revert "equiv_opt to call async2sync when not -multiclock like SymbiYosys"Eddie Hung2019-10-031-2/+0
| | | | This reverts commit a39505e329cc05dbd4ad624a1cf0f6caf664fd9a.
* Revert "Update doc for equiv_opt"Eddie Hung2019-10-031-3/+2
| | | | This reverts commit a274b7cc86d4f64541d3d2903b4eeed4616ab1d8.
* Update doc for equiv_optEddie Hung2019-09-301-2/+3
|
* equiv_opt to call async2sync when not -multiclock like SymbiYosysEddie Hung2019-09-271-0/+2
|
* Add equiv_opt -multiclockDavid Shah2019-09-111-1/+11
| | | | Signed-off-by: David Shah <dave@ds0.me>
* SpellingEddie Hung2019-08-221-2/+2
|
* substr() -> compare()Eddie Hung2019-08-072-3/+3
|
* stoi -> atoiEddie Hung2019-08-073-3/+3
|
* Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-063-3/+3
|
* Add -undef option to equiv_opt, passed to equiv_inductEddie Hung2019-04-261-3/+16
|
* Add "techmap -wb", use in formal flowsClifford Wolf2019-04-201-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix equiv_opt indentingClifford Wolf2018-12-161-139/+129
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* equiv_opt: pass -D EQUIV when techmapping.whitequark2018-12-071-2/+4
| | | | | This allows avoiding techmap crashes e.g. because of large memories in white-box cell models.
* equiv_opt: new command, for verifying optimization passes.whitequark2018-12-072-1/+166
|
* using [i] to access individual bits of SigSpec and merging bits into a tmp ↵rafaeltp2018-10-211-11/+12
| | | | Sig before setting the port to new signal
* cleaning up for PRrafaeltp2018-10-201-2/+2
|
* fixing code stylerafaeltp2018-10-201-1/+1
|
* solves #675rafaeltp2018-10-201-11/+17
|
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-2/+2
|
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-1/+12
|
* fix bug: pass by referencetklam2018-09-261-1/+1
|
* Fix issue #639TK Lam2018-09-261-0/+58
|
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-2010-20/+20
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Improve log messages in equiv_makeClifford Wolf2018-01-191-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix equiv_simple, old behavior now available with "equiv_simple -short"Clifford Wolf2017-04-281-10/+41
|
* Squelch trailing whitespaceLarry Doolittle2017-04-121-1/+1
|
* Add $ff and $_FF_ support to equiv_simpleClifford Wolf2017-01-301-2/+2
|
* Improved equiv_purge log outputClifford Wolf2016-11-291-1/+1
|
* Added "yosys -D" featureClifford Wolf2016-04-219-9/+9
|
* Added "equiv_struct -fwonly"Clifford Wolf2016-01-081-5/+17
|
* Bugfixes in equiv_structClifford Wolf2016-01-081-2/+9
|
* Added "equiv_struct -maxiter <N>"Clifford Wolf2016-01-061-4/+16
|
* Added "equiv_add -try" modeClifford Wolf2016-01-061-6/+33
|
* Use mfp<> in equiv_markClifford Wolf2015-10-271-28/+4
|
* Improvements in equiv_structClifford Wolf2015-10-251-17/+62
|
* Major refactoring of equiv_structClifford Wolf2015-10-251-93/+165
|