aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Improve specify dummy parser, fixes #1144Clifford Wolf2019-06-281-2/+9
* Fix segfault on failed VERILOG_FRONTEND::const2ast, closes #1131Clifford Wolf2019-06-261-1/+1
* Add upto and offset to JSON portsMiodrag Milanovic2019-06-211-0/+12
* Fix typoMiodrag Milanovic2019-06-211-1/+1
* Added JSON upto and offsetClifford Wolf2019-06-211-0/+12
* Merge pull request #1119 from YosysHQ/eddie/fix1118Clifford Wolf2019-06-211-0/+1
|\
| * Make genvar a signed typeEddie Hung2019-06-201-0/+1
* | Maintain "is_unsized" state of constantsEddie Hung2019-06-201-6/+6
|/
* Merge branch 'unpacked_arrays' of https://github.com/towoe/yosys-sv into towo...Clifford Wolf2019-06-201-1/+7
|\
| * Unpacked array declaration using sizeTobias Wölfel2019-06-191-1/+7
* | Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-195-9/+44
* | Add defaultvalue attributeClifford Wolf2019-06-191-0/+11
* | Fix handling of "logic" variables with initial valueClifford Wolf2019-06-191-2/+2
* | Fixed brojen $error()/$info/$warning() on non-generate blocksUdi Finkelstein2019-06-112-3/+13
|/
* Fix spacing from spaces to tabsEddie Hung2019-06-071-362/+362
* Fix spacing (entire file is wrong anyway, will fix later)Eddie Hung2019-06-071-3/+3
* Remove unnecessary std::getline() for ASCIIEddie Hung2019-06-071-3/+0
* Fix read_aiger -- create zero driver, fix init width, parse 'b'Eddie Hung2019-06-072-13/+52
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-073-46/+34
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-076-5/+64
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-036-5/+64
* | Cleanup tux3-implicit_named_connectionClifford Wolf2019-06-071-1/+1
* | Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys int...Clifford Wolf2019-06-071-1/+10
|\ \
| * | SystemVerilog support for implicit named port connectionstux32019-06-061-9/+17
* | | Merge pull request #1060 from antmicro/parsing_attr_on_port_connClifford Wolf2019-06-061-10/+14
|\ \ \ | |/ / |/| |
| * | Fixed memory leak.Maciej Kurc2019-06-051-0/+4
| * | Added support for parsing attributes on port connections.Maciej Kurc2019-05-311-10/+10
* | | Only support Symbiotic EDA flavored VerificClifford Wolf2019-06-021-0/+8
|/ /
* | Enable Verific flag veri_elaborate_top_level_modules_having_interface_ports, ...Clifford Wolf2019-05-301-0/+3
* | Merge branch 'master' into wandworStefan Biereigel2019-05-275-14/+47
|\ \
| * \ Merge pull request #1044 from mmicko/invalid_width_rangeClifford Wolf2019-05-271-1/+2
| |\ \
| | * | Give error instead of asserting for invalid range, fixes #947Miodrag Milanovic2019-05-271-1/+2
| * | | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-275-13/+45
| |/ /
* | | remove leftovers from ast data structuresStefan Biereigel2019-05-272-4/+0
* | | move wand/wor resolution into hierarchy passStefan Biereigel2019-05-271-97/+14
* | | fix assignment of non-wiresStefan Biereigel2019-05-231-16/+19
* | | fix indentation across filesStefan Biereigel2019-05-234-63/+83
* | | implementation for assignments workingStefan Biereigel2019-05-233-14/+83
* | | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-233-2/+10
|/ /
* | Rename labelEddie Hung2019-05-211-6/+5
* | Try againEddie Hung2019-05-211-4/+10
* | Fix warningEddie Hung2019-05-211-3/+2
* | Read bigger Verilog files.Kaj Tuomi2019-05-181-1/+1
* | Merge pull request #1013 from antmicro/parameter_attributesClifford Wolf2019-05-161-2/+2
|\ \
| * | Added support for parsing attributes on parameters in Verilog frontent. Conte...Maciej Kurc2019-05-161-2/+2
* | | Make the generated *.tab.hh include all the headers needed to define the union.Henner Zeller2019-05-142-2/+18
|/ /
* | Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-068-35/+366
|\ \
| * | Add "real" keyword to ilang formatClifford Wolf2019-05-062-1/+8
| * | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-062-2/+10
| |\ \
| * | | Improve write_verilog specify supportClifford Wolf2019-05-041-0/+3