aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Expand)AuthorAgeFilesLines
* update required verific versionMiodrag Milanovic2021-09-021-1/+1
* Make Verific extensions optionalMiodrag Milanovic2021-08-201-1/+6
* Require latest verificMiodrag Milanovic2021-08-021-1/+1
* Update to latest verificMiodrag Milanovic2021-07-211-3/+3
* Update to latest Verific with extensions for initial assertionsMiodrag Milanovic2021-07-091-14/+9
* Add additional helpMiodrag Milanovic2021-07-051-0/+22
* Support command files in VerificMiodrag Milanovic2021-06-161-0/+39
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-083-3/+3
* Update READMEClaire Xen2021-03-041-4/+4
* Merge pull request #2574 from dh73/masterClaire Xen2021-02-151-0/+5
|\
| * Accept disable case for SVA liveness properties.Diego H2021-02-041-0/+5
* | Ganulate Verific supportMiodrag Milanovic2021-02-121-8/+16
|/
* Require latest Verific buildMiodrag Milanovic2021-01-301-1/+1
* Switch verific bindings from Symbiotic EDA flavored Verific to YosysHQ flavor...Claire Xenia Wolf2021-01-201-18/+18
* Bump required Verific versionMiodrag Milanovic2020-12-021-1/+1
* Update verific versionMiodrag Milanovic2020-10-301-1/+1
* extend verific library API for formal apps and generatorsMiodrag Milanovic2020-10-121-15/+83
* Update required Verific versionMiodrag Milanović2020-10-051-1/+1
* use sha1 for parameter list in case if they contain spacesMiodrag Milanovic2020-09-301-2/+18
* Better error for unsupported SVA sequenceMiodrag Milanovic2020-09-181-2/+8
* Use latest verificMiodrag Milanovic2020-09-021-1/+1
* Reorder to prevent crashMiodrag Milanovic2020-08-311-3/+3
* ast recognize lower case x and z and verific gives upper caseMiodrag Milanovic2020-08-301-2/+6
* Do not check for 1 and 0 onlyMiodrag Milanovic2020-08-301-6/+0
* Fix import of VHDL enumsMiodrag Milanovic2020-08-301-11/+22
* Add formal apps and template generatorsMiodrag Milanovic2020-08-261-1/+223
* Clear last error messageMiodrag Milanovic2020-07-291-1/+3
* Merge pull request #2132 from YosysHQ/eddie/verific_initialclairexen2020-07-021-17/+36
|\
| * verific: rewrite initial assume/asserts prior to elaborationEddie Hung2020-05-151-17/+36
* | Update verific API version checkMiodrag Milanovic2020-06-301-1/+1
* | Fix crash in verific frontendMiodrag Milanovic2020-06-261-1/+2
* | Merge pull request #2168 from whitequark/assert-unused-exprsclairexen2020-06-251-1/+1
|\ \
| * | Remove YS_ATTRIBUTE(unused) where present just for log_assert()/log_debug().whitequark2020-06-191-1/+1
* | | optimization, all items should have same attributesMiodrag Milanovic2020-06-251-0/+1
* | | verific - import attributes for net buses as wellMiodrag Milanovic2020-06-241-1/+4
|/ /
* | Use C++11 final/override keywords.whitequark2020-06-181-5/+5
* | Merge pull request #2131 from YosysHQ/claire/preserveffsclairexen2020-06-101-0/+3
|\ \
| * | Do not optimize away FFs in "prep" and Verific fron-endClaire Wolf2020-06-091-0/+3
* | | verific - detect missing memory to prevent crash.Miodrag Milanovic2020-06-101-2/+7
|/ /
* | Support asymmetric memories for verific frontendMiodrag Milanovic2020-06-011-6/+1
* | Revert "Add support for non-power-of-two mem chunks in verific importer"Claire Wolf2020-05-171-12/+2
|/
* Add support for non-power-of-two mem chunks in verific importerClaire Wolf2020-05-141-2/+12
* verific: ignore anonymous enumsEddie Hung2020-04-301-1/+4
* verific: support VHDL enums tooEddie Hung2020-04-271-13/+43
* verific: recover wiretype/enum attr as part of import_attributes()Eddie Hung2020-04-272-6/+35
* Revert "verific: import enum attributes from verific"Eddie Hung2020-04-241-24/+0
* verific: do not assert if wire not found; warn insteadEddie Hung2020-04-231-2/+6
* verific: import enum attributes from verificEddie Hung2020-04-221-0/+20
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-48/+48
* kernel: use more ID::*Eddie Hung2020-04-021-1/+1