aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Expand)AuthorAgeFilesLines
* Add ability to override verilog mode for verific -f commandMiodrag Milanovic2022-02-091-2/+44
* Use bmux for NTO1MUXMiodrag Milanovic2022-02-021-16/+2
* Add YOSYS to the implicitly defined verilog macros in verificClaire Xenia Wolf2021-12-131-1/+2
* Merge pull request #3102 from YosysHQ/claire/enumxzMiodrag Milanović2021-12-101-1/+1
|\
| * Fix verific import of enum values with x and/or zClaire Xenia Wolf2021-12-101-1/+1
* | Update verific.ccClaire Xen2021-12-101-4/+7
* | If direction NONE use that from first bitMiodrag Milanovic2021-12-081-0/+7
|/
* Make sure cell names are unique for wide operatorsMiodrag Milanovic2021-12-031-2/+2
* No need to alocate more memory than usedMiodrag Milanovic2021-11-101-1/+0
* Add "verific -cfg" commandClaire Xenia Wolf2021-11-011-2/+75
* Fix verific gclk handling for async-load FFsClaire Xenia Wolf2021-10-311-12/+67
* Enable async load dff emit by default in VerificMiodrag Milanovic2021-10-271-1/+1
* Revert "Compile option for enabling async load verific support"Miodrag Milanovic2021-10-271-4/+1
* Compile option for enabling async load verific supportMiodrag Milanovic2021-10-251-1/+4
* Fix verific.cc PRIM_DLATCH handlingClaire Xenia Wolf2021-10-211-1/+7
* Initial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}Claire Xenia Wolf2021-10-211-4/+55
* Option to disable verific VHDL supportMiodrag Milanovic2021-10-202-11/+45
* Support PRIM_BUFIF1 primitiveMiodrag Milanovic2021-10-141-2/+2
* Merge pull request #3039 from YosysHQ/claire/verific_aldffClaire Xen2021-10-112-1/+91
|\
| * Add Verific adffe/dffsre/aldffe FIXMEsClaire Xenia Wolf2021-10-111-0/+3
| * Fixes and add comments for open FIXME itemsClaire Xenia Wolf2021-10-081-1/+34
| * Add support for $aldff flip-flops to verific importerClaire Xenia Wolf2021-10-082-1/+55
* | Import module attributes from VerificMiodrag Milanovic2021-10-101-0/+1
|/
* verific set db_infer_set_reset_registersMiodrag Milanovic2021-10-041-0/+1
* update required verific versionMiodrag Milanovic2021-09-021-1/+1
* Make Verific extensions optionalMiodrag Milanovic2021-08-201-1/+6
* Require latest verificMiodrag Milanovic2021-08-021-1/+1
* Update to latest verificMiodrag Milanovic2021-07-211-3/+3
* Update to latest Verific with extensions for initial assertionsMiodrag Milanovic2021-07-091-14/+9
* Add additional helpMiodrag Milanovic2021-07-051-0/+22
* Support command files in VerificMiodrag Milanovic2021-06-161-0/+39
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-083-3/+3
* Update READMEClaire Xen2021-03-041-4/+4
* Merge pull request #2574 from dh73/masterClaire Xen2021-02-151-0/+5
|\
| * Accept disable case for SVA liveness properties.Diego H2021-02-041-0/+5
* | Ganulate Verific supportMiodrag Milanovic2021-02-121-8/+16
|/
* Require latest Verific buildMiodrag Milanovic2021-01-301-1/+1
* Switch verific bindings from Symbiotic EDA flavored Verific to YosysHQ flavor...Claire Xenia Wolf2021-01-201-18/+18
* Bump required Verific versionMiodrag Milanovic2020-12-021-1/+1
* Update verific versionMiodrag Milanovic2020-10-301-1/+1
* extend verific library API for formal apps and generatorsMiodrag Milanovic2020-10-121-15/+83
* Update required Verific versionMiodrag Milanović2020-10-051-1/+1
* use sha1 for parameter list in case if they contain spacesMiodrag Milanovic2020-09-301-2/+18
* Better error for unsupported SVA sequenceMiodrag Milanovic2020-09-181-2/+8
* Use latest verificMiodrag Milanovic2020-09-021-1/+1
* Reorder to prevent crashMiodrag Milanovic2020-08-311-3/+3
* ast recognize lower case x and z and verific gives upper caseMiodrag Milanovic2020-08-301-2/+6
* Do not check for 1 and 0 onlyMiodrag Milanovic2020-08-301-6/+0
* Fix import of VHDL enumsMiodrag Milanovic2020-08-301-11/+22
* Add formal apps and template generatorsMiodrag Milanovic2020-08-261-1/+223