aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.h
Commit message (Expand)AuthorAgeFilesLines
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-191-3/+3
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-1/+0
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-1/+3
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-1/+3
* | Merge branch 'master' into wandworStefan Biereigel2019-05-271-1/+3
|\ \
| * | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-271-1/+3
| |/
* | remove leftovers from ast data structuresStefan Biereigel2019-05-271-1/+0
* | fix indentation across filesStefan Biereigel2019-05-231-1/+1
* | implementation for assignments workingStefan Biereigel2019-05-231-0/+1
* | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-231-1/+1
|/
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-201-2/+2
* Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-2/+2
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-211-1/+1
* Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-021-0/+1
* Use mem2reg on memories that only have constant-index write portsClifford Wolf2019-03-011-0/+2
* Refactor code to avoid code duplication + added commentsRuben Undheim2018-10-201-0/+5
* Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-121-1/+3
* Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-0/+6
* Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-231-2/+2
* Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-4/+4
|\
| * Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
* | Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-151-1/+1
|\ \ | |/ |/|
| * Modified errors into warningsUdi Finkelstein2018-06-051-1/+1
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-111-1/+1
* | Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-031-1/+1
|/
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-051-1/+1
* Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-301-7/+7
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-0/+2
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+1
* Avoid creation of bogus initial blocks for assert/assume in always @*Clifford Wolf2016-09-061-0/+1
* Removed $predict againClifford Wolf2016-08-281-1/+0
* Another bugfix in mem2reg codeClifford Wolf2016-08-211-1/+1
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-3/+5
* After reading the SV spec, using non-standard predict() instead of expect()Clifford Wolf2016-07-211-1/+1
* Added basic support for $expect cellsClifford Wolf2016-07-131-0/+1
* Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-181-1/+3
* Fixed access-after-delete bug in mem2reg codeClifford Wolf2016-05-271-0/+1
* Fixed handling of parameters and const functions in casex/casez patternClifford Wolf2016-04-211-0/+2
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
* Added WORDS parameter to $meminitClifford Wolf2015-07-311-1/+1
* Fixed nested mem2regClifford Wolf2015-07-291-1/+1
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
* Added non-std verilog assume() statementClifford Wolf2015-02-261-0/+1
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-141-3/+3
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-141-1/+2
* dict/pool changes in astClifford Wolf2014-12-291-4/+8
* Changed more code to dict<> and pool<>Clifford Wolf2014-12-281-2/+2
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-261-1/+1
* Added support for $readmemh/$readmembClifford Wolf2014-10-261-0/+1
* Fixed handling of invalid array access in mem2reg codeClifford Wolf2014-10-161-0/+1