aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.cc
Commit message (Expand)AuthorAgeFilesLines
* fix dumpAst() compilation warningZachary Snow2022-01-181-1/+1
* verilog: use derived module info to elaborate cell connectionsZachary Snow2021-10-251-14/+55
* Split out logic for reprocessing an AstModuleRupert Swarbrick2021-10-251-23/+44
* Generate an RTLIL representation of bind constructsRupert Swarbrick2021-08-131-0/+5
* verilog: Emit $meminit_v2 cell.Marcelina Kościelnicka2021-07-281-1/+3
* Add support for parsing the SystemVerilog 'bind' constructRupert Swarbrick2021-07-161-0/+1
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
* sv: support tasks and functions within packagesZachary Snow2021-06-011-0/+20
* Change the type of current_module to ModuleRupert Swarbrick2021-05-131-23/+25
* Use range-based for loop in AST::processRupert Swarbrick2021-05-131-21/+21
* ast: make design available to process_module()Zachary Snow2021-03-241-8/+8
* ast: Use better parameter serialization for paramod names.Marcelina Kościelnicka2021-03-181-2/+25
* sv: allow globals in one file to depend on globals in anotherZachary Snow2021-03-121-1/+0
* verilog: disallow overriding global parametersZachary Snow2021-03-111-0/+2
* Merge pull request #2643 from zachjs/fix-param-no-default-logwhitequark2021-03-081-1/+1
|\
| * Fix param without default log lineZachary Snow2021-03-071-1/+1
* | verilog: Use proc memory writes in the frontend.Marcelina Kościelnicka2021-03-081-0/+2
|/
* Merge pull request #2626 from zachjs/param-no-defaultwhitequark2021-03-071-2/+27
|\
| * sv: support for parameters without default valuesZachary Snow2021-03-021-2/+27
* | sv: fix some edge cases for unbased unsized literalsZachary Snow2021-03-061-0/+2
|/
* frontend: Make helper functions for printing locations.Marcelina Kościelnicka2021-02-231-8/+16
* ast: fix dump_vlog display of casex/casezMarcelina Kościelnicka2021-01-291-2/+2
* Return correct modname when found in cache.Julius Roob2020-11-261-0/+1
* Added $high(), $low(), $left(), $right()Udi Finkelstein2020-09-151-0/+6
* static cast: support changing size and signednessKazuki Sakamoto2020-06-191-0/+1
* Generalise structs and add support for packed unions.Peter Crozier2020-05-121-0/+1
* Implement SV structs.Peter Crozier2020-05-081-0/+2
* Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-021-0/+2
* Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-021-0/+4
* Clear current_scope when done with RTLIL generation, fixes #1837Claire Wolf2020-04-221-0/+4
* ilang, ast: Store parameter order and default value information.Marcelina Kościelnicka2020-04-211-2/+0
* Merge pull request #1851 from YosysHQ/claire/bitselwriteClaire Wolf2020-04-211-0/+5
|\
| * Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-161-0/+5
* | ast, rpc: record original name of $paramod\* as \hdlname attribute.whitequark2020-04-181-0/+3
* | ast: Fix handling of identifiers in the global scopeDavid Shah2020-04-161-0/+2
|/
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-34/+34
* kernel: use more ID::*Eddie Hung2020-04-021-6/+6
* Merge pull request #1845 from YosysHQ/eddie/kernel_speedupEddie Hung2020-04-021-33/+39
|\
| * kernel: more pass by const ref, more speedupsEddie Hung2020-03-181-33/+39
* | Merge pull request #1783 from boqwxp/astcc_cleanupEddie Hung2020-03-301-13/+20
|\ \
| * | Add explanatory comment about inefficient wire removal and remove superfluous...Alberto Gonzalez2020-03-301-4/+8
| * | Revert over-aggressive change to a more modest cleanup.Alberto Gonzalez2020-03-271-2/+3
| * | Clean up pseudo-private member usage in `frontends/ast/ast.cc`.Alberto Gonzalez2020-03-191-11/+13
| |/
* / Simplify was not being called for packages. Broke typedef enums.Peter Crozier2020-03-221-5/+8
|/
* Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-031-32/+14
|\
| * Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-32/+14
* | ast: quiet down when deriving blackbox modulesEddie Hung2020-02-271-11/+19
|/
* add attributes for enumerated values in ilangJeff Wang2020-02-171-0/+1
* partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-161-3/+20
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-0/+3
|\