aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Expand)AuthorAgeFilesLines
* Add "yosys-smtbmc --aig-noheader" and AIGER mem init supportClifford Wolf2017-01-282-8/+55
* Fix $initstate handling bug in yosys-smtbmcClifford Wolf2017-01-111-0/+2
* Implicitly set "yosys-smtbmc --noprogress" on windowsClifford Wolf2017-01-041-3/+4
* Fixed "yosys-smtbmc --noprogress"Clifford Wolf2017-01-041-1/+1
* Handle "always 1" like "always -1" in .smtc filesClifford Wolf2017-01-021-7/+5
* Improved write_json help messageClifford Wolf2016-12-291-0/+4
* Added $anyconst support to AIGER back-endClifford Wolf2016-12-111-0/+7
* Added $assert/$assume support to AIGER back-endClifford Wolf2016-12-031-10/+51
* Improved yosys-smtbmc default -t/--assume-skipped for --cex and --aigClifford Wolf2016-12-031-2/+15
* Added "yosys-smtbmc --aig"Clifford Wolf2016-12-011-6/+127
* Added support for partially initialized regs to smt2 back-endClifford Wolf2016-12-011-3/+15
* Added "write_aiger -zinit -symbols -vmap"Clifford Wolf2016-12-011-30/+139
* Added "write_aiger" commandClifford Wolf2016-11-302-0/+398
* Bugfix in smt2 back-end for pure checker modulesClifford Wolf2016-11-281-0/+4
* Removed shebang line from smtio.py, fixes #279Clifford Wolf2016-11-271-1/+0
* Added wire start_offset and upto handling BLIF back-endClifford Wolf2016-11-231-1/+1
* Added "yosys-smtbmc --append"Clifford Wolf2016-11-221-2/+20
* Bugfix: include assign to write-maskAdam Izraelevitz2016-11-181-0/+1
* More progress in FIRRTL back-endClifford Wolf2016-11-183-4/+121
* Progress in FIRRTL back-endClifford Wolf2016-11-184-5/+55
* Added first draft of FIRRTL back-endClifford Wolf2016-11-172-0/+353
* Cleanups and fixed in write_verilog regarding reg initClifford Wolf2016-11-161-15/+61
* Added hex constant support to write_verilogClifford Wolf2016-11-031-4/+62
* Adde "write_verilog -renameprefix -v"Clifford Wolf2016-11-011-5/+23
* Added avail params to ilang format, check module params in 'hierarchy -check'Clifford Wolf2016-10-221-0/+7
* Ignore L_pi nets in "yosys-smtbmc --cex"Clifford Wolf2016-10-181-2/+5
* Use init value "2" for all uninitialized FFs in BLIF back-endClifford Wolf2016-10-181-4/+1
* Added "yosys-smtbmc --cex <filename>"Clifford Wolf2016-10-171-1/+35
* cleanup in write_smt2 log messages (-bv and -mem are now default)Clifford Wolf2016-10-161-1/+1
* Added $anyseq cell typeClifford Wolf2016-10-141-1/+1
* Added $global_clock verilog syntax support for creating $ff cellsClifford Wolf2016-10-141-0/+1
* Added $ff and $_FF_ cell typesClifford Wolf2016-10-122-5/+11
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2016-10-112-1/+3
|\
| * Added smtc support for top-level state with [], [N:] syntaxClifford Wolf2016-10-082-1/+3
* | Bugfix in yosys-smtbmc --noincrClifford Wolf2016-10-041-1/+8
|/
* yosys-smtbmc: ABC is a QF_BV solverClifford Wolf2016-10-031-0/+1
* Added "yosys-smtbmc --noincr"Clifford Wolf2016-10-031-10/+39
* yosys-smtbmc: added smtc [...] support for cellsClifford Wolf2016-10-021-0/+2
* Added "yosys-smtbmc -s abc"Clifford Wolf2016-10-011-0/+4
* Minor improvements in yosys-smtbmcClifford Wolf2016-09-242-10/+50
* Added yosys-smtbmc --noinfo and --dummyClifford Wolf2016-09-192-56/+76
* Improved handling of SMT2 logics in yosys-smtbmcClifford Wolf2016-09-183-11/+49
* yosys-smtbmc: added -i support smtc filesClifford Wolf2016-09-181-20/+19
* Work-around for boolector bugClifford Wolf2016-09-131-2/+2
* Added missing :produce-models setting to smtio.pyClifford Wolf2016-09-111-1/+2
* Minor improvements to smtio.py vcd writerClifford Wolf2016-09-101-1/+3
* fixed write_smt2 for (non-combinatorial) loops through hierarchical cellsClifford Wolf2016-09-101-17/+34
* smt2 mem init bugfixClifford Wolf2016-09-081-4/+6
* yosys-smtbmc meminit supportClifford Wolf2016-09-081-3/+23
* Bugfix in "yosys-smtbmc --unroll"Clifford Wolf2016-09-071-1/+1