aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Collapse)AuthorAgeFilesLines
...
* | backends/blif: Remove unused vector of strings (#2420)William Woodruff2020-11-161-57/+53
| | | | | | | | | | | | | | | | | | | | | | * backends/blif: Remove unused vector of strings For reasons that are unclear to me, this was being used to store every result of `cstr` before returning them. The vector was never accessed otherwise, resulting in a huge unnecessary memory sink when emitting to BLIF. * backends/blif: Remove CSTR macro * backends/blif: Actually call str()
* | cxxrtl: run `hierarchy -auto-top` if no top module is present.whitequark2020-11-021-7/+26
| | | | | | | | | | | | | | | | | | | | | | | | In most cases, a CXXRTL simulation would use a top module, either because this module serves as an entry point to the CXXRTL C API, or because the outputs of a top module are unbuffered, improving performance. Taking this into account, the CXXRTL backend now runs `hierarchy -auto-top` if there is no top module. For the few cases where this behavior is unwanted, it now accepts a `-nohierarchy` option. Fixes #2373.
* | cxxrtl: don't assert on non-constant $meminit inputs.whitequark2020-11-011-2/+4
| | | | | | | | Fixes #2129.
* | cxxrtl: don't assert on wires with multiple drivers.whitequark2020-11-011-0/+2
| | | | | | | | Fixes #2374.
* | btor: Use Mem helper.Marcelina Kościelnicka2020-10-211-93/+102
| |
* | smt2: Use Mem helper.Marcelina Kościelnicka2020-10-211-186/+244
| |
* | verilog_backend: Use Mem helper.Marcelina Kościelnicka2020-10-211-274/+251
| |
* | smtbmc: escape identifiers in verilog testbenchJakob Wenzel2020-10-061-11/+29
| |
* | write_verilog: emit intermediate wire for constant values in sensitivity listN. Engelhardt2020-09-281-7/+53
| |
* | Merge pull request #2372 from nakengelhardt/name_is_publicN. Engelhardt2020-09-214-8/+8
|\ \ | | | | | | add IdString::isPublic()
| * | use the new isPublic() in a few placesN. Engelhardt2020-09-144-8/+8
| | |
* | | Merge pull request #2369 from Xiretza/gitignoresMiodrag Milanović2020-09-102-0/+2
|\ \ \ | |/ / |/| | Add missing gitignores for test artifacts
| * | Add missing gitignores for test artifactsXiretza2020-08-312-0/+2
| | |
* | | cxxrtl: expose driver kind in debug information.whitequark2020-09-023-12/+112
| | | | | | | | | | | | | | | | | | This can be useful to determine whether the wire should be a part of a design checkpoint, whether it can be used to override design state, and whether driving it may cause a conflict.
* | | cxxrtl: improve handling of FFs with async inputs (other than CLK).whitequark2020-09-021-22/+23
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Before this commit, the meaning of "sync def" included some flip-flop cells but not others. There was no actual reason for this; it was just poorly defined. After this commit, a "sync def" means that a wire holds design state because it is connected directly to a flip-flop output, and may never be unbuffered. This is not affected by presence of async inputs.
* | | cxxrtl: expose port direction in debug information.whitequark2020-09-023-5/+51
| | | | | | | | | | | | | | | | | | This can be useful to distinguish e.g. a combinatorially driven wire with type `CXXRTL_VALUE` from a module input with the same type, as well as general introspection.
* | | cxxrtl: fix typo in comment. NFC.whitequark2020-09-021-1/+1
| | |
* | | cxxrtl: fix inaccuracy in CXXRTL_ALIAS documentation. NFC.whitequark2020-09-021-1/+1
|/ / | | | | | | | | Nodes driven by a constant value have type CXXRTL_VALUE and their `next` pointer set to NULL. (This is already documented.)
* | write_smt2: fix SMT-LIB tutorial URLwhitequark2020-08-291-1/+1
| |
* | Replace "ILANG" with "RTLIL" everywhere.whitequark2020-08-265-34/+49
| | | | | | | | | | | | | | | | | | | | The only difference between "RTLIL" and "ILANG" is that the latter is the text representation of the former, as opposed to the in-memory graph representation. This distinction serves no purpose but confuses people: it is not obvious that the ILANG backend writes RTLIL graphs. Passes `write_ilang` and `read_ilang` are provided as aliases to `write_rtlil` and `read_rtlil` for compatibility.
* | Ensure smt2 comments are associated with accessorsNoah Moroze2020-08-201-9/+20
| |
* | Respect \A_SIGNED for $shiftXiretza2020-08-183-17/+23
| | | | | | | | | | | | This reflects the behaviour of $shr/$shl, which sign-extend their A operands to the size of their output, then do a logical shift (shift in 0-bits).
* | cxxrtl.h: Fix incorrect CarryOut in alu()Andy Knowles2020-08-121-8/+3
| |
* | cxxrtl.h: Fix incorrect CarryOut in alu when Bits % 32 != 0 && Invert == FalseAndy Knowles2020-08-121-2/+8
| |
* | verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
|/
* smtio: Emit `mode: start` options before `set-logic` command and any other ↵Alberto Gonzalez2020-07-201-1/+8
| | | | | | options after it. Refer to the SMT-LIB specification, section 4.1.7. According to the spec, some options can only be specified in `start` mode. Once the solver sees `set-logic`, it moves to `assert` mode.
* smtio: Add support for parsing `yosys-smt2-solver-option` info statements.Alberto Gonzalez2020-07-201-3/+10
|
* smt2: Add `-solver-option` option.Alberto Gonzalez2020-07-201-0/+13
|
* Only allow "sat" and "unsat" smt solver responses in yosys-smtbmcClaire Wolf2020-07-202-4/+4
| | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* Merge pull request #2273 from whitequark/write-verilog-always-star-initialclairexen2020-07-161-0/+5
|\ | | | | verilog_backend: in non-SV mode, add a trigger for `always @*`
| * verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This commit only affects translation of RTLIL processes (for which there is limited support). Due to the event-driven nature of Verilog, processes like reg x; always @* x <= 1; may never execute. This can be fixed in SystemVerilog code by using `always_comb` instead of `always @*`, but in Verilog-2001 the options are limited. This commit implements the following workaround: reg init = 0; reg x; always @* begin if (init) begin end x <= 1; end Fixes #2271.
* | Merge pull request #2272 from whitequark/write-verilog-svclairexen2020-07-161-11/+18
|\| | | | | verilog_backend: add `-sv` option, make `-o <filename>.sv` work
| * verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-161-11/+18
| | | | | | | | See #2271.
* | cxxrtl: fix typo. NFC.whitequark2020-07-141-1/+1
|/
* cxxrtl: expose eval() and commit() via the C API.whitequark2020-07-122-0/+20
|
* cxxrtl: add missing extern "C".whitequark2020-07-091-0/+1
| | | | This bug was hidden if a header was generated.
* cxxrtl: update help text.whitequark2020-06-261-2/+2
|
* Merge pull request #2168 from whitequark/assert-unused-exprsclairexen2020-06-251-2/+0
|\ | | | | Use (and ignore) the expression provided to log_assert in NDEBUG builds
| * Use (and ignore) the expression provided to log_assert in NDEBUG builds.whitequark2020-06-191-2/+0
| | | | | | | | | | This avoids warnings in NDEBUG builds emitted when a variable is only used in log_assert, but is always defined.
* | cxxrtl: Add support for the new FF types.Marcelina Kościelnicka2020-06-241-5/+22
|/
* Merge pull request #2173 from whitequark/use-cxx11-final-overridewhitequark2020-06-1917-40/+40
|\ | | | | Use C++11 final/override/[[noreturn]]
| * Use C++11 final/override keywords.whitequark2020-06-1817-40/+40
| |
* | cxxrtl: add .get() and .set() accessors on value<> and wire<>.whitequark2020-06-191-6/+47
|/ | | | | | | | | | | | | For several reasons: * They're more convenient than accessing .data. * They accommodate variably-sized types like size_t transparently. * They statically ensure that no out of range conversions happen. For now these are only provided for unsigned integers, but eventually they should be provided for signed integers too. (Annoyingly this affects conversions to/from `char` at the moment.) Fixes #2127.
* Merge pull request #2167 from whitequark/cxxrtl-fix-ndebugwhitequark2020-06-181-1/+2
|\ | | | | cxxrtl: don't compute vital values in log_assert()
| * cxxrtl: don't compute vital values in log_assert().whitequark2020-06-171-1/+2
| | | | | | | | | | | | This breaks NDEBUG builds. Fixes #2166.
* | Merge pull request #2163 from jfng/cxxrtl-blackbox-debuginfowhitequark2020-06-171-13/+17
|\ \ | | | | | | cxxrtl: restrict the debug info of a blackbox to its ports.
| * | cxxrtl: restrict the debug info of a blackbox to its ports.Jean-François Nguyen2020-06-161-13/+17
| |/
* | Merge pull request #2160 from whitequark/cxxrtl-fix-warningwhitequark2020-06-171-21/+23
|\ \ | |/ |/| cxxrtl: avoid unused variable warning for transparent $memrd ports
| * cxxrtl: avoid unused variable warning for transparent $memrd ports. NFC.whitequark2020-06-151-21/+23
| |
* | Merge pull request #2159 from MerryMage/cxxrtl-mulwhitequark2020-06-151-17/+22
|\ \ | |/ |/| cxxrtl: Implement chunk-wise multiplication