aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Expand)AuthorAgeFilesLines
...
* | backends/blif: Remove unused vector of strings (#2420)William Woodruff2020-11-161-57/+53
* | cxxrtl: run `hierarchy -auto-top` if no top module is present.whitequark2020-11-021-7/+26
* | cxxrtl: don't assert on non-constant $meminit inputs.whitequark2020-11-011-2/+4
* | cxxrtl: don't assert on wires with multiple drivers.whitequark2020-11-011-0/+2
* | btor: Use Mem helper.Marcelina Kościelnicka2020-10-211-93/+102
* | smt2: Use Mem helper.Marcelina Kościelnicka2020-10-211-186/+244
* | verilog_backend: Use Mem helper.Marcelina Kościelnicka2020-10-211-274/+251
* | smtbmc: escape identifiers in verilog testbenchJakob Wenzel2020-10-061-11/+29
* | write_verilog: emit intermediate wire for constant values in sensitivity listN. Engelhardt2020-09-281-7/+53
* | Merge pull request #2372 from nakengelhardt/name_is_publicN. Engelhardt2020-09-214-8/+8
|\ \
| * | use the new isPublic() in a few placesN. Engelhardt2020-09-144-8/+8
* | | Merge pull request #2369 from Xiretza/gitignoresMiodrag Milanović2020-09-102-0/+2
|\ \ \ | |/ / |/| |
| * | Add missing gitignores for test artifactsXiretza2020-08-312-0/+2
* | | cxxrtl: expose driver kind in debug information.whitequark2020-09-023-12/+112
* | | cxxrtl: improve handling of FFs with async inputs (other than CLK).whitequark2020-09-021-22/+23
* | | cxxrtl: expose port direction in debug information.whitequark2020-09-023-5/+51
* | | cxxrtl: fix typo in comment. NFC.whitequark2020-09-021-1/+1
* | | cxxrtl: fix inaccuracy in CXXRTL_ALIAS documentation. NFC.whitequark2020-09-021-1/+1
|/ /
* | write_smt2: fix SMT-LIB tutorial URLwhitequark2020-08-291-1/+1
* | Replace "ILANG" with "RTLIL" everywhere.whitequark2020-08-265-34/+49
* | Ensure smt2 comments are associated with accessorsNoah Moroze2020-08-201-9/+20
* | Respect \A_SIGNED for $shiftXiretza2020-08-183-17/+23
* | cxxrtl.h: Fix incorrect CarryOut in alu()Andy Knowles2020-08-121-8/+3
* | cxxrtl.h: Fix incorrect CarryOut in alu when Bits % 32 != 0 && Invert == FalseAndy Knowles2020-08-121-2/+8
* | verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
|/
* smtio: Emit `mode: start` options before `set-logic` command and any other op...Alberto Gonzalez2020-07-201-1/+8
* smtio: Add support for parsing `yosys-smt2-solver-option` info statements.Alberto Gonzalez2020-07-201-3/+10
* smt2: Add `-solver-option` option.Alberto Gonzalez2020-07-201-0/+13
* Only allow "sat" and "unsat" smt solver responses in yosys-smtbmcClaire Wolf2020-07-202-4/+4
* Merge pull request #2273 from whitequark/write-verilog-always-star-initialclairexen2020-07-161-0/+5
|\
| * verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
* | Merge pull request #2272 from whitequark/write-verilog-svclairexen2020-07-161-11/+18
|\|
| * verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-161-11/+18
* | cxxrtl: fix typo. NFC.whitequark2020-07-141-1/+1
|/
* cxxrtl: expose eval() and commit() via the C API.whitequark2020-07-122-0/+20
* cxxrtl: add missing extern "C".whitequark2020-07-091-0/+1
* cxxrtl: update help text.whitequark2020-06-261-2/+2
* Merge pull request #2168 from whitequark/assert-unused-exprsclairexen2020-06-251-2/+0
|\
| * Use (and ignore) the expression provided to log_assert in NDEBUG builds.whitequark2020-06-191-2/+0
* | cxxrtl: Add support for the new FF types.Marcelina Kościelnicka2020-06-241-5/+22
|/
* Merge pull request #2173 from whitequark/use-cxx11-final-overridewhitequark2020-06-1917-40/+40
|\
| * Use C++11 final/override keywords.whitequark2020-06-1817-40/+40
* | cxxrtl: add .get() and .set() accessors on value<> and wire<>.whitequark2020-06-191-6/+47
|/
* Merge pull request #2167 from whitequark/cxxrtl-fix-ndebugwhitequark2020-06-181-1/+2
|\
| * cxxrtl: don't compute vital values in log_assert().whitequark2020-06-171-1/+2
* | Merge pull request #2163 from jfng/cxxrtl-blackbox-debuginfowhitequark2020-06-171-13/+17
|\ \
| * | cxxrtl: restrict the debug info of a blackbox to its ports.Jean-François Nguyen2020-06-161-13/+17
| |/
* | Merge pull request #2160 from whitequark/cxxrtl-fix-warningwhitequark2020-06-171-21/+23
|\ \ | |/ |/|
| * cxxrtl: avoid unused variable warning for transparent $memrd ports. NFC.whitequark2020-06-151-21/+23
* | Merge pull request #2159 from MerryMage/cxxrtl-mulwhitequark2020-06-151-17/+22
|\ \ | |/ |/|