aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
Commit message (Expand)AuthorAgeFilesLines
* Bump YOSYS_VERClaire Wolf2020-07-311-1/+1
* Add utility module for representing flip-flops.Marcelina Kościelnicka2020-07-231-0/+1
* Add utility module for dealing with init attributes.Marcelina Kościelnicka2020-07-231-0/+1
* satgen: Move importCell out of the header.Marcelina Kościelnicka2020-07-191-1/+1
* Fix issue #2251 (#2252)Lucas Castro2020-07-091-1/+1
* Add option to use ccache when buildingDan Ravensloft2020-07-041-0/+5
* Update ABC.whitequark2020-06-221-1/+1
* cxxrtl: add missing installs of include files.whitequark2020-06-081-0/+5
* Merge pull request #2051 from Xiretza/makefile-cd-warningwhitequark2020-05-281-1/+1
|\
| * Suppress warning during initial clone of ABC repoXiretza2020-05-141-1/+1
* | Setup tests/verilog properlyEddie Hung2020-05-111-0/+1
|/
* Remove yosys libdir from LDFLAGS (and fix a typo)N. Engelhardt2020-05-071-2/+1
* Makefile: git fetch all commits from $(ABCURL) repoEddie Hung2020-05-061-1/+1
* Update ABC to include WASI support fixes.whitequark2020-05-021-1/+1
* Fix WASI builds with abc enabled.whitequark2020-05-011-2/+2
* Merge pull request #2001 from whitequark/wasiwhitequark2020-05-011-1/+47
|\
| * Add WASI platform support.whitequark2020-04-301-2/+48
* | Merge pull request #1997 from whitequark/document-ootbClaire Wolf2020-05-011-11/+11
|\ \ | |/ |/|
| * Fix out-of-tree builds configured as `SMALL := 1`.whitequark2020-04-241-11/+11
* | abc: use YosysHQ/abc instead of upstream berkeley-abc/abcEddie Hung2020-04-271-2/+2
|/
* Merge pull request #1900 from Xiretza/suppress-makefile-echowhitequark2020-04-161-0/+3
|\
| * Suppress output of Makefile.conf when printing source versionsXiretza2020-04-111-0/+3
* | synth_intel_alm: alternative synthesis for Intel FPGAsDan Ravensloft2020-04-151-0/+1
|/
* Keep libyosys name same as befire, but put it in directoryMiodrag Milanovic2020-04-101-11/+11
* Support custom PROGRAM_PREFIXMiodrag Milanovic2020-04-101-43/+44
* write_cxxrtl: new backend.whitequark2020-04-091-0/+1
* Add constids.inc to final installXiretza2020-04-081-0/+1
* Merge pull request #1814 from YosysHQ/mmicko/pyosys_makefileClaire Wolf2020-04-071-0/+4
|\
| * Enable ENABLE_LIBYOSYS when ENABLE_PYOSYS is setMiodrag Milanovic2020-03-251-0/+4
* | Bump YOSYS_VERClaire Wolf2020-04-021-1/+1
|/
* Add tests for `select` command warnings.Alberto Gonzalez2020-03-231-0/+1
* Improve ABC repository management in MakefileXiretza2020-03-121-1/+5
* Added filter-out for libyosys.soMiodrag Milanovic2020-03-121-1/+1
* Revert "Clean up 'install' Makefile target"Miodrag Milanovic2020-03-121-4/+7
* Revert "Improve ABC repository management in Makefile"Miodrag Milanovic2020-03-121-5/+1
* Merge pull request #1666 from Xiretza/improve-makefileMiodrag Milanović2020-03-121-8/+9
|\
| * Improve ABC repository management in MakefileXiretza2020-01-291-1/+5
| * Clean up 'install' Makefile targetXiretza2020-01-291-7/+4
* | Add mandatory wasm file to zip file as wellMiodrag Milanovic2020-03-121-2/+2
* | Add EXTRA_EXPORTED_RUNTIME_METHODS env for yosysjsjiegec2020-03-111-0/+1
* | Fix compilation for emccjiegec2020-03-111-1/+2
* | Bump ABCREV to receive fix for #1675Eddie Hung2020-03-061-1/+1
* | Added tests/memfile to 'make test' with an extra testcaseRodrigo Alejandro Melo2020-02-011-0/+1
|/
* Merge remote-tracking branch 'origin/master' into eddie/abc9_mfsEddie Hung2020-01-111-1/+1
|\
| * Bump versionClifford Wolf2020-01-091-1/+1
* | Bump ABCREV for upstream fixEddie Hung2020-01-111-1/+1
|/
* Bump ABCREV for upstream fixEddie Hung2020-01-071-1/+1
* Bump ABCREV for upstream fixEddie Hung2020-01-061-1/+1
* Fix linking with Python 3.8Graham Edgecombe2019-12-201-0/+7
* Add PYTHON_CONFIG variable to the MakefileGraham Edgecombe2019-12-201-17/+18